Jump to content

Intel Core

From Wikipedia, the free encyclopedia
(Redirected from Intel Core 3)

Intel Core
Intel Core logo
Logo since 2023
General information
LaunchedJanuary 2006; 19 years ago (2006-01)
Marketed byIntel
Designed byIntel
Common manufacturers
Performance
Max. CPU clock rate400 MHz to 6.2 GHz
Cache
L1 cacheUp to 112 KB per P-core
96 KB per E-core or LP E-core
L2 cacheCore and Core 2: Up to 12 MB
Nehalem-present: Up to 2 MB per P-core and up to 3 MB per E-core cluster
L3 cacheUp to 36 MB
Architecture and classification
Technology node65 nm to Intel 4 and TSMC N5
Microarchitecture
Instruction setx86-64
InstructionsMMX, SSE, SSE2, SSE3, SSSE3, SSE4.1, SSE4.2, AVX, AVX2, AVX-512, TSX, AES-NI, FMA3, AVX-VNNI
Extensions
  • EIST, TXT, VT-x, VT-d, SHA, SGX
Physical specifications
Cores
    • P-cores: 2–10
    • E-cores: 4–16
    • Total: 1-24
GPUIntel Graphics Technology
Sockets
Products, models, variants
Brand names
  • Core
  • Core 2
  • Core i3/i5/i7/i9
  • Core 3/5/7
  • Core Ultra 3/5/7/9
Variant
  • Intel Processor (budget CPUs)
History
PredecessorPentium
A flagship model, the Intel Core i9-14900K

Intel Core is a line of multi-core (with the exception of Core Solo and Core 2 Solo) central processing units (CPUs) for midrange, embedded, workstation, high-end and enthusiast computer markets marketed by Intel Corporation. These processors displaced the existing mid- to high-end Pentium processors at the time of their introduction, moving the Pentium to the entry level. Identical or more capable versions of Core processors are also sold as Xeon processors for the server and workstation markets.

Core was launched in January 2006 as a mobile-only series, consisting of single- and dual-core models. It was then succeeded later in July by the Core 2 series, which included both desktop and mobile processors with up to four cores, and introduced 64-bit support.

Since 2008, Intel began introducing the Core i3, Core i5, Core i7 and Core i9 lineup of processors, succeeding Core 2.

A new naming scheme debuted in 2023, consisting of Core 3, Core 5, and Core 7 for mainstream processors, and Core Ultra 5, Core Ultra 7, and Core Ultra 9 for "premium" high-end processors.

Overview

[edit]

Although Intel Core is a brand that promises no internal consistency or continuity, the processors within this family have been, for the most part, broadly similar.

The first products receiving this designation were the Core Solo and Core Duo Yonah processors for mobile from the Pentium M design tree, fabricated at 65 nm and brought to market in January 2006. These are substantially different in design than the rest of the Intel Core product group, having derived from the Pentium Pro lineage that predated Pentium 4.

The first Intel Core desktop processor—and typical family member—came from the Conroe iteration, a 65 nm dual-core design brought to market in July 2006, based on the Intel Core microarchitecture with substantial enhancements in micro-architectural efficiency and performance, outperforming Pentium 4 across the board (or near to it), while operating at drastically lower clock rates. Maintaining high instructions per cycle (IPC) on a deeply pipelined and resourced out-of-order execution engine has remained a constant fixture of the Intel Core product group ever since.

The new substantial bump in microarchitecture came with the introduction of the 45 nm Bloomfield desktop processor in November 2008 on the Nehalem architecture, whose main advantage came from redesigned I/O and memory systems featuring the new Intel QuickPath Interconnect and an integrated memory controller supporting up to three channels of DDR3 memory.

Subsequent performance improvements have tended toward making additions rather than profound changes, such as adding the Advanced Vector Extensions (AVX) instruction set extensions to Sandy Bridge, first released on 32 nm in January 2011. Time has also brought improved support for virtualization and a trend toward higher levels of system integration and management functionality (and along with that, increased performance) through the ongoing evolution of facilities such as Intel Active Management Technology (iAMT).

As of 2017, the Core brand comprised four product lines – the entry level i3, the mainstream i5, the high-end i7, and the "enthusiast" i9. Core i7 was introduced in 2008, followed by i5 in 2009, and i3 in 2010. The first Core i9 models were released in 2017.

In 2023, Intel announced that it would drop the "i" moniker from their processor branding, making it "Core 3/5/7/9". The company would introduce the "Ultra" branding for high-end processors as well.[1] The new naming scheme debuted with the launch of Raptor Lake-U Refresh and Meteor Lake processors in 2024, using the "Core 3/5/7" branding for mainstream processors and "Core Ultra 5/7/9" branding for "premium" high-end processors.[2][3]

Comparison of Intel Core microarchitectures
Microarchitecture Core Nehalem Sandy Bridge Haswell Broadwell Skylake Sunny Cove[a] Willow Cove Golden Cove Raptor Cove
Microarchitecture variants Merom Penryn Westmere Ivy Bridge Tiger Lake
Generation (Core i) - - 1st 2nd/3rd 4th 5th/6th 6th/7th/8th/9th 10th/11th 11th 12th 13th/14th
Year of inception 2006 2007 2010 2011 2013 2014 2015 2019 2020 2021 2022
Fabrication process (nm) 65 45 32/22 22 14 14+/14++/14+++ 10 10SF 10ESF
Cache μop 1.5K μops[4] 2.25K μops 4K μops
L1 Data Size 32 KB/core 48 KB/core
Ways 8 way 12 way
Latency 3 4 3/5 ? 5 ?
Instruction Size 32 KB/core
ways 8 way[5] 4 way 8 way ? ? 8 way ?
Latency 3 ? ? ? 4 5 ? ? ?
TLB ? ? 142 144[6] ? ? ? ? ? ? ?
L2 Size 2-3 MB/core 256 KB 512 KB 1.25 MB 2 MB[b]
ways 8 way 4 way 8 way 20 way 10 way ?
Latency ? ? ? 12 13 ? 14 ?
TLB ? ? ? ? 1024 ? 1536 2048 ? ? ?
L3 Size 2 MB 3 MB ?
ways 16 way 12 way[7]
Latency ? ? ? ? 26-37[4] 30-36[4] 43[8] 74 ?
L4 Size None 0–128 MB None ? ? ?
ways ? 16[9] ? ? ? ?
Latency ? ? ? ? ? ?
Type GPU Memory only cache ? ? ?
Hyper-threading No Yes
OoOE window 96[10] 128[11] 168 192 224[12] 352 ? 512[13] ?
In-flight Load ? ? 48 64 72 128 ? 192 ?
Store ? ? 32 36 42 56 72 ? 114 ?
Scheduler Entries 32 36 54 60 64 97 160[14] ? ? ?
Dispatch ? ? ? ? ? ? 8 way 10 way ? ? ?
Register file Integer ? ? ? 160 168 ? 280[13] ? 280[13] ?
Floating-point ? ? ? 144 168 ? 224[13] ? 332[13] ?
Queue Instruction ? ? 18/thread 20/thread 20/thread 25/thread ? ? ? ? ?
Allocation ? ? 28/thread[c] 56 64/thread ? ? ? ?
Decode ? ? ? ? ? ? 4 + 1 ? 6 ?
Execution Ports Numbers ? ? 6[15] 8[16] 8[17] 10 ? 12 ?
Port 0 Integer
FP Mul
Branch
Integer
FP Mul
Branch
? ? ? ? ? ? ?
Port 1 ? ? Integer
FP Mul
Integer
FP Mul
? ? ? ? ? ? ?
Port 2 ? ? Load
Address
Load
Store
Address
? ? ? ? ? ? ?
Port 3 ? ? Store Address Store
Load
Address
? ? ? ? ? ? ?
Port 4 ? ? Store Data Store Data ? ? ? ? ? ? ?
Port 5 ? ? Integer ? ? ? ? ? ? ? ?
Port 6 [16] Integer
Branch
? ? ? ? ?
Port 7 Store Address ? ? ? ? ?
AGUs ? ? ? ? ? ? 2 + 1 2 + 2 ? ? ?
Instructions SSE2 Yes
SSE3 Yes
SSE4 Yes
AVX Yes
AVX2 Yes
FMA Yes
AVX512 Yes/No Yes Yes/No
μArchitecture Merom Penryn Nehalem Sandy Bridge Haswell Broadwell Skylake Ice Lake Tiger Lake Alder Lake Raptor Lake
  1. ^ Rocket Lake based on Cypress Cove is a CPU microarchitecture, a variant of Sunny Cove microarchitecture designed for 10 nm, backported to 14 nm.
  2. ^ 1.25 MB in client
  3. ^ 56 unified in Ivy Bridge
Overview of Intel Core microarchitectures
Brand Desktop Mobile
Codename Cores Process Date released Codename Cores Process Date released
Core Solo Desktop version not available Yonah 1 65 nm January 2006
Core Duo Yonah 2
Core 2 Solo Merom-L
Penryn-L
1
1
65 nm
45 nm
September 2007
May 2008
Core 2 Duo Conroe
Allendale
Wolfdale
2
2
2
65 nm
65 nm
45 nm
August 2006
January 2007
January 2008
Merom
Penryn
2
2
65 nm
45 nm
July 2006
January 2008
Core 2 Quad Kentsfield
Yorkfield
4
4
65 nm
45 nm
January 2007
March 2008
Penryn QC 4 45 nm August 2008
Core 2 Extreme Conroe XE
Kentsfield XE
Yorkfield XE
2
4
4
65 nm
65 nm
45 nm
July 2006
November 2006
November 2007
Merom XE
Penryn XE
Penryn QC XE
2
2
4
65 nm
45 nm
45 nm
July 2007
January 2008
August 2008
Core M Desktop version not available Broadwell 2 14 nm September 2014[18]
Core m3 Skylake
Kaby Lake
Kaby Lake
Amber Lake
2
2
2
2
14 nm
14 nm
14 nm
14 nm
August 2015
September 2016
April 2017
August 2018
Core m5 Skylake 2 14 nm August 2015
Core m7 Skylake 2 14 nm August 2015
Core i3 Clarkdale
Sandy Bridge
Ivy Bridge
Haswell
Skylake
Kaby Lake
Coffee Lake
Coffee Lake
Comet Lake
Alder Lake
Raptor Lake
2
2
2
2
2
2
4
4
4
4
4
32 nm
32 nm
22 nm
22 nm
14 nm
14 nm
14 nm
14 nm
14 nm
Intel 7
Intel 7
January 2010
February 2011
September 2012
September 2013
September 2015
January 2017
October 2017
Jan. & April 2019
April 2020
January 2022
Jan. 2023 & 2024
Arrandale
Sandy Bridge
Ivy Bridge
Haswell
Broadwell
Skylake
Kaby Lake
Skylake
Kaby Lake
Coffee Lake
Cannon Lake
Coffee Lake
Whiskey Lake
Ice Lake
Comet Lake
Tiger Lake / B
Alder Lake
Raptor Lake
Meteor Lake
2
2
2
2
2
2
2
2
2
2
2
4
2
2
2
2-4
6-8
5-6
8
32 nm
32 nm
22 nm
22 nm
14 nm
14 nm
14 nm
14 nm
14 nm
14 nm
10 nm
14 nm
14 nm
10 nm
14 nm
10 nm
Intel 7
Intel 7
Intel 4
January 2010
February 2011
June 2012
June 2013
January 2015
Sept. 2015 & June 2016
August 2016
November 2016
Jan. & June 2017
April 2018
May 2018
July 2018
August 2018
May & Aug. 2019
September 2019
Sept. 2020, Jan. - May 2021
January 2022
Jan. 2023 & 2024
April 2024
Core i5 Lynnfield
Clarkdale
Sandy Bridge
Sandy Bridge
Ivy Bridge
Haswell
Broadwell
Skylake
Kaby Lake
Coffee Lake
Coffee Lake
Comet Lake
Rocket Lake
Alder Lake
Raptor Lake
4
2
4
2
2-4
2-4
4
4
4
6
6
6
6
6-10
10-14
45 nm
32 nm
32 nm
32 nm
22 nm
22 nm
14 nm
14 nm
14 nm
14 nm
14 nm
14 nm
14 nm
Intel 7
Intel 7
September 2009
January 2010
January 2011
February 2011
April 2012
June 2013
June 2015
September 2015
January 2017
October 2017
Oct. 2018 & Jan. 2019
April 2020
March 2021
Nov. 2021 & Jan. 2022
Jan. 2023/2024 & Oct. 2023/2024
Arrandale
Sandy Bridge
Ivy Bridge
Haswell
Broadwell
Skylake
Kaby Lake
Kaby Lake
Kaby Lake-R
Coffee Lake
Amber Lake
Whiskey Lake
Ice Lake
Comet Lake
Comet Lake-H
Tiger Lake
Tiger Lake-H/B
Alder Lake
Alder Lake-H/HX
Raptor Lake
Meteor Lake
2
2
2
2
2
2
2
4
4
4
2
4
4
4
4
4
4-6
10-12
8-12
6-12
8-14
32 nm
32 nm
22 nm
22 nm
14 nm
14 nm
14 nm
14 nm
14 nm
14 nm
14 nm
14 nm
10 nm
14 nm
14 nm
10 nm
10 nm
Intel 7
Intel 7
Intel 7
Intel 4
January 2010
February 2011
May 2012
June 2013
January 2015
September 2015
August 2016
January 2017
October 2017
April 2018
Aug. 2018 & Oct. 2018
Aug. 2018 & April 2019
May & Aug. 2019
September 2019
April 2020
Sept. 2020 - May 2021
January - September 2021
January 2022
January & May 2022
Jan. 2023 & 2024
Dec. 2023 & Apr. 2024
Core i7 Bloomfield
Lynnfield
Gulftown
Sandy Bridge
Sandy Bridge-E
Sandy Bridge-E
Ivy Bridge
Haswell
Ivy Bridge-E
Broadwell
Skylake
Kaby Lake
Coffee Lake
Coffee Lake
Comet Lake
Rocket Lake
Alder Lake
Raptor Lake
4
4
6
4
6
4
4
4
4-6
4
4
4
6
8
8
8
12
16-20
45 nm
45 nm
32 nm
32 nm
32 nm
32 nm
22 nm
22 nm
22 nm
14 nm
14 nm
14 nm
14 nm
14 nm
14 nm
14 nm
Intel 7
Intel 7
November 2008
September 2009
July 2010
January 2011
November 2011
February 2012
April 2012
June 2013
September 2013
June 2015
August 2015
January 2017
October 2017
October 2018
April 2020
March 2021
Nov. 2021 & Jan. 2022
Jan. 2023/2024 & Oct. 2023/2024
Clarksfield
Arrandale
Sandy Bridge
Sandy Bridge
Ivy Bridge
Haswell
Broadwell
Broadwell
Skylake
Kaby Lake
Kaby Lake
Coffee Lake
Amber Lake
Whiskey Lake
Ice Lake
Comet Lake
Comet Lake-H
Tiger Lake
Tiger Lake-H/B
Alder Lake
Alder Lake-H/HX
Raptor Lake
Meteor Lake
4
2
4
2
2-4
2-4
2
4
2-4
2
4
4-6
2
4
4
4-6
6-8
4
4-8
10-14
10-16
14-20
12-16
45 nm
32 nm
32 nm
32 nm
22 nm
22 nm
14 nm
14 nm
14 nm
14 nm
14 nm
14 nm
14 nm
14 nm
10 nm
14 nm
14 nm
10 nm
10 nm
Intel 7
Intel 7
Intel 7
Intel 4
September 2009
January 2010
January 2011
February 2011
May 2012
June 2013
January 2015
June 2015
September 2015
August 2016
January 2017
April 2018
August 2018
Aug. 2018 & April 2019
May & Aug. 2019
September 2019
April 2020
September 2020
January - September 2021
January 2022
January & May 2022
January 2023 & 2024
Dec. 2023 & Apr. 2024
Core i7
Extreme
Bloomfield
Gulftown
Sandy Bridge-E
Ivy Bridge-E
Haswell-E
Broadwell-E
Skylake-X
Kaby Lake-X
4
6
6
6
8
10
6-8
4
45 nm
32 nm
32 nm
22 nm
22 nm
14 nm
14 nm
14 nm
November 2008
March 2010
November 2011
September 2013
August 2014
May 2016
June 2017
June 2017
Clarksfield
Sandy Bridge
Ivy Bridge
Haswell
4
4
4
4
45 nm
32 nm
22 nm
22 nm
September 2009
January 2011
May 2012
June 2013
Core i9 Skylake-X
Skylake-X
Cascade Lake-X
Coffee Lake
Comet Lake
Rocket Lake
Alder Lake
Raptor Lake
10
12
14-18
8
10
8
16
24
14 nm
14 nm
14 nm
14 nm
14 nm
14 nm
Intel 7
Intel 7
June 2017
August 2017
September 2017
October 2018
April 2020
March 2021
Nov. 2021 & Jan. 2022
Oct. 2022 / Jan.&Oct. 2023
Coffee Lake-H
Comet Lake-H
Tiger Lake-H
Alder Lake-H/HX
Raptor Lake-H/HX
Meteor Lake-H
6
8
8
14-16
14-24
16
14 nm
14 nm
10 nm
Intel 7
Intel 7
Intel 4
April 2018
April 2020
May 2021
January & May 2022
January 2023 & 2024
December 2023
List of Intel Core processors

Product lineup

[edit]

Core

[edit]

The original Core brand refers to Intel's 32-bit mobile dual-core x86 CPUs, which were derived from the Pentium M branded processors. The processor family used an enhanced version of the P6 microarchitecture. It emerged in parallel with the NetBurst microarchitecture (Intel P68) of the Pentium 4 brand, and was a precursor of the 64-bit Core microarchitecture of Core 2 branded CPUs. The Core brand had two branches: the Duo (dual-core) and Solo (single-core, which replaced the Pentium M brand of single-core mobile processor).

Intel launched the Core brand on January 6, 2006, with the release of the 32-bit Yonah CPU – Intel's first dual-core mobile (low-power) processor. Its dual-core layout closely resembled two interconnected Pentium M branded CPUs packaged as a single die (piece) silicon chip (IC). Hence, the 32-bit microarchitecture of Core branded CPUs – contrary to its name – had more in common with Pentium M branded CPUs than with the subsequent 64-bit Core microarchitecture of Core 2 branded CPUs. Despite a major rebranding effort by Intel starting January 2006, some companies continued to market computers with the Yonah core marked as Pentium M.

The Core series is also the first Intel processor used in an Apple Macintosh computer. The Core Duo was the CPU for the first generation MacBook Pro, while the Core Solo appeared in Apple's Mac Mini line. Core Duo signified the beginning of Apple's shift to Intel processors across the entire Mac line.

In 2007, Intel began branding the Yonah CPUs intended for mainstream mobile computers as Pentium Dual-Core, not to be confused with the desktop 64-bit Core microarchitecture CPUs also branded as Pentium Dual-Core.

September 2007 and January 4, 2008 marked the discontinuation of a number of Core branded CPUs including several Core Solo, Core Duo, Celeron and one Core 2 Quad products.[19][20]

Core Solo

[edit]

Intel Core Solo[21] (product code 80538) uses the same two-core die as the Core Duo, but features only one active core. Depending on demand, Intel may also simply disable one of the cores to sell the chip at the Core Solo price—this requires less effort than launching and maintaining a separate line of CPUs that physically only have one core. Intel had used the same strategy previously with the 486 CPU in which early 486SX CPUs were in fact manufactured as 486DX CPUs but with the FPU disabled.

Codename Brand name (list) L2 Cache Socket TDP
Yonah Core Solo T1xxx 2 MB Socket M 27–31 W
Core Solo U1xxx 5.5–6 W

Core Duo

[edit]

Intel Core Duo[22] (product code 80539) consists of two cores on one die, a 2 MB L2 cache shared by both cores, and an arbiter bus that controls both L2 cache and FSB (front-side bus) access.

Codename Brand name (list) L2 Cache Socket TDP
Yonah Core Duo T2xxx 2 MB Socket M 31 W
Core Duo L2xxx 15 W
Core Duo U2xxx 9 W

Core 2

[edit]

The successor to Core is the mobile version of the Core 2 line of processors based on the Core microarchitecture,[23] released on July 27, 2006. The release of the mobile version of Intel Core 2 marks the reunification of Intel's desktop and mobile product lines as Core 2 processors were released for desktops and notebooks, unlike the first Intel Core CPUs that were targeted only for notebooks (although they were used in some small form factor and all-in-one desktops, like the iMac and the Mac Mini).

Unlike the original Core, Intel Core '2's are 64-bit processors, supporting Intel Extended Memory 64 Technology (EM64T). Another difference between the original Core Duo and the new Core 2 Duo is an increase in the amount of level 2 cache. The new Core 2 Duo has tripled the amount of on-board cache to 6 MB. Core 2 also introduced a quad-core performance variant to the single- and dual-core chips, branded Core 2 Quad, as well as an enthusiast variant, Core 2 Extreme. All three chips are manufactured at a 65 nm lithography, and in 2008, a 45 nm lithography and support front side bus speeds ranging from 533 MT/s to 1.6 GT/s. In addition, the 45 nm die shrink of the Core microarchitecture adds SSE4.1 support to all Core 2 microprocessors manufactured at a 45 nm lithography, therefore increasing the calculation rate of the processors.

Core 2 Solo

[edit]

The Core 2 Solo,[24] introduced in September 2007, is the successor to the Core Solo and is available only as an ultra-low-power mobile processor with 5.5 Watt thermal design power. The original U2xxx series "Merom-L" used a special version of the Merom chip with CPUID number 10661 (model 22, stepping A1) that only had a single core and was also used in some Celeron processors. The later SU3xxx are part of Intel's CULV range of processors in a smaller μFC-BGA 956 package but contain the same Penryn chip as the dual-core variants, with one of the cores disabled during manufacturing.

Codename Brand name (list) L2 cache Socket TDP
Merom-L Mobile Core 2 Solo U2xxx 1 MB FCBGA 5.5 W
Penryn-L Mobile Core 2 Solo SU3xxx 3 MB BGA956 5.5 W

Core 2 Duo

[edit]
Inside of a Sony VAIO laptop (VGN-C140G)

The majority of the desktop and mobile Core 2 processor variants are Core 2 Duo[25][26] with two processor cores on a single Merom, Conroe, Allendale, Penryn, or Wolfdale chip. These come in a wide range of performance and power consumption, starting with the relatively slow ultra-low-power Uxxxx (10 W) and low-power Lxxxx (17 W) versions, to the more performance oriented Pxxxx (25 W) and Txxxx (35 W) mobile versions and the Exxxx (65 W) desktop models. The mobile Core 2 Duo processors with an 'S' prefix in the name are produced in a smaller μFC-BGA 956 package, which allows building more compact laptops.

Within each line, a higher number usually refers to a better performance, which depends largely on core and front-side bus clock frequency and amount of second level cache, which are model-specific. Core 2 Duo processors typically use the full L2 cache of 2, 3, 4, or 6 MB available in the specific stepping of the chip, while versions with the amount of cache reduced during manufacturing are sold for the low-end consumer market as Celeron or Pentium Dual-Core processors. Like those processors, some low-end Core 2 Duo models disable features such as Intel Virtualization Technology.

Codename Brand name (list) L2 cache Socket TDP
Merom Mobile Core 2 Duo U7xxx 2 MB BGA479 10 W
Mobile Core 2 Duo L7xxx 4 MB 17 W
Mobile Core 2 Duo T5xxx 2 MB Socket M
Socket P
BGA479
35 W
Mobile Core 2 Duo T7xxx 2–4 MB
Conroe and
Allendale
Core 2 Duo E4xxx 2 MB LGA 775 65 W
Core 2 Duo E6xxx 2–4 MB
Penryn Mobile Core 2 Duo SU7xxx 3 MB BGA956 10 W
Mobile Core 2 Duo SU9xxx
Mobile Core 2 Duo SL9xxx 6 MB 17 W
Mobile Core 2 Duo SP9xxx 25 W
Mobile Core 2 Duo P7xxx 3 MB Socket P
FCBGA6
25 W
Mobile Core 2 Duo P8xxx
Mobile Core 2 Duo P9xxx 6 MB
Mobile Core 2 Duo T6xxx 2 MB 35 W
Mobile Core 2 Duo T8xxx 3 MB
Mobile Core 2 Duo T9xxx 6 MB
Mobile Core 2 Duo E8xxx 6 MB Socket P 35–55 W
Wolfdale Core 2 Duo E7xxx 3 MB LGA 775 65 W
Core 2 Duo E8xxx 6 MB

Core 2 Quad

[edit]

Core 2 Quad[27][28] processors are multi-chip modules consisting of two dies similar to those used in Core 2 Duo, forming a quad-core processor. This allows twice the performance of a dual-core processors at the same clock frequency in scenarios that take advantage of multi-threading.

Initially, all Core 2 Quad models were versions of Core 2 Duo desktop processors, Kentsfield derived from Conroe and Yorkfield from Wolfdale, but later Penryn-QC was added as a high-end version of the mobile dual-core Penryn.

The Xeon 32xx and 33xx processors are mostly identical versions of the desktop Core 2 Quad processors and can be used interchangeably.

Codename Brand name (list) L2 cache Socket TDP
Kentsfield Core 2 Quad Q6xxx 2×4 MB LGA 775 95–105 W
Yorkfield Core 2 Quad Q8xxx 2×2 MB 65–95 W
Core 2 Quad Q9xxx 2×3–2×6 MB
Penryn-QC Mobile Core 2 Quad Q9xxx 2×3–2×6 MB Socket P 45 W

Core 2 Extreme

[edit]

Core 2 Extreme processors[29][30] are enthusiast versions of Core 2 Duo and Core 2 Quad processors, usually with a higher clock frequency and an unlocked clock multiplier, which makes them especially attractive for overclocking. This is similar to earlier Pentium D processors labeled as Extreme Edition. Core 2 Extreme processors were released at a much higher price than their regular version, often $999 or more.

Codename Brand name (list) L2 cache Socket TDP
Merom XE Mobile Core 2 Extreme X7xxx 4 MB Socket P 44 W
Conroe XE Core 2 Extreme X6xxx 4 MB LGA 775 75 W
Kentsfield Core 2 Extreme QX6xxx 2×4 MB LGA 775 130 W
Penryn XE Mobile Core 2 Extreme X9xxx 6 MB Socket P 44 W
Penryn-QC XE Mobile Core 2 Extreme QX9300 2×6 MB Socket P 45 W
Yorkfield Core 2 Extreme QX9xxx 2×6 MB LGA 775 / LGA 771 130–150 W

Core i3/i5/i7/i9

[edit]

1st generation

[edit]

With the release of the Nehalem microarchitecture in November 2008,[31] Intel introduced a new naming scheme for its Core processors. There are three variants, Core i3, Core i5 and Core i7, but the names no longer correspond to specific technical features like the number of cores. Instead, the brand is now divided from low-level (i3), through mid-range (i5) to high-end performance (i7),[32] which correspond to three, four and five stars in Intel's Intel Processor Rating[33] following on from the entry-level Celeron (one star) and Pentium (two stars) processors.[34] Common features of all Nehalem based processors include an integrated DDR3 memory controller as well as QuickPath Interconnect or PCI Express and Direct Media Interface on the processor replacing the aging quad-pumped Front Side Bus used in all earlier Core processors. All these processors have 256 KB L2 cache per core, plus up to 12 MB shared L3 cache. Because of the new I/O interconnect, chipsets and mainboards from previous generations can no longer be used with Nehalem-based processors.

Intel intended the Core i3 as the new low end of the performance processor line from Intel, following the retirement of the Core 2 brand.[35][36]

The first Core i3 processors were launched on January 7, 2010.[37]

The first Nehalem based Core i3 was Clarkdale-based, with an integrated GPU and two cores.[38] The same processor is also available as Core i5 and Pentium, with slightly different configurations.

The Core i3-3xxM processors are based on Arrandale, the mobile version of the Clarkdale desktop processor. They are similar to the Core i5-4xx series but running at lower clock speeds and without Turbo Boost.[39] According to an Intel FAQ they do not support Error Correction Code (ECC) memory.[40] According to motherboard manufacturer Supermicro, if a Core i3 processor is used with a server chipset platform such as Intel 3400/3420/3450, the CPU supports ECC with UDIMM.[41] When asked, Intel confirmed that, although the Intel 5 series chipset supports non-ECC memory only with the Core i5 or i3 processors, using those processors on a motherboard with 3400 series chipsets it supports the ECC function of ECC memory.[42] A limited number of motherboards by other companies also support ECC with Intel Core ix processors; the Asus P8B WS is an example, but it does not support ECC memory under Windows non-server operating systems.[43]

Codename Brand name (list) Cores L3 Cache Socket TDP I/O Bus
Clarkdale Core i3 2 4 MB LGA 1156 73 W Direct Media Interface,
Integrated GPU
Arrandale Core i3-3xxM 3 MB rPGA-988A 35 W
Core i3-3xxUM 3 MB BGA-1288 18 W

Lynnfield were the first Core i5 processors using the Nehalem microarchitecture, introduced on September 8, 2009, as a mainstream variant of the earlier Core i7.[44][45] Lynnfield Core i5 processors have an 8 MB L3 cache, a DMI bus running at 2.5 GT/s and support for dual-channel DDR3-800/1066/1333 memory and have Hyper-threading disabled. The same processors with different sets of features (Hyper-threading and other clock frequencies) enabled are sold as Core i7-8xx and Xeon 3400-series processors, which should not be confused with high-end Core i7-9xx and Xeon 3500-series processors based on Bloomfield. A new feature called Turbo Boost Technology was introduced which maximizes speed for demanding applications, dynamically accelerating performance to match the workload.

After Nehalem received a 32 nm Westmere die shrink, Arrandale, the dual-core mobile Core i5 processors and its desktop counterpart Clarkdale was introduced in January 2010, together with Core i7-6xx and Core i3-3xx processors based on the same architecture. Arrandale processors have integrated graphics capability. Core i3-3xx does not support for Turbo Boost, L3 cache in Core i5-5xx processors is reduced to 3 MB, while the Core i5-6xx uses the full cache,[46] Clarkdale is sold as Core i5-6xx, along with related Core i3 and Pentium processors. It has Hyper-Threading enabled and the full 4 MB L3 cache.[47]

According to Intel "Core i5 desktop processors and desktop boards typically do not support ECC memory",[48] but information on limited ECC support in the Core i3 section also applies to Core i5 and i7.[citation needed]

Codename Brand name (list) Cores L3 Cache Socket TDP I/O Bus
Lynnfield Core i5-7xx 4 8 MB LGA 1156 95 W Direct Media Interface
Core i5-7xxS 82 W
Clarkdale Core i5-6xx 2 4 MB 73–87 W Direct Media Interface,
Integrated GPU
Arrandale Core i5-5xxM 3 MB rPGA-988A 35 W
Core i5-4xxM
Core i5-5xxUM BGA-1288 18 W
Core i5-4xxUM[49]

The Core i7 brand targets the business and high-end consumer markets for both desktop and laptop computers,[50] and is distinguished from the Core i3 (entry-level consumer), Core i5 (mainstream consumer), and Xeon (server and workstation) brands.

Introduced in late 2008, Bloomfield was the first Core i7 processors based on the Nehalem architecture.[51][52][53][54] The following year, Lynnfield desktop processors and Clarksfield mobile processors brought new quad-core Core i7 models based on the said architecture.[55]

After Nehalem received a 32 nm Westmere die shrink, Arrandale dual-core mobile processors were introduced in January 2010, followed by Core i7's first six-core desktop processor Gulftown on March 16, 2010. Both the regular Core i7 and the Extreme Edition are advertised as five stars in the Intel Processor Rating.

The first-generation Core i7 uses two different sockets; LGA 1366 designed for high-end desktops and servers, and LGA 1156 used in low- and mid-end desktops and servers. In each generation, the highest-performing Core i7 processors use the same socket and QPI-based architecture as the medium-end Xeon processors of that generation, while lower-performing Core i7 processors use the same socket and PCIe/DMI/FDI architecture as the Core i5.

"Core i7" is a successor to the Intel Core 2 brand.[56][57][58][59] Intel representatives stated that they intended the moniker Core i7 to help consumers decide which processor to purchase as Intel releases newer Nehalem-based products in the future.[60]

Code name Brand name Cores L3 Cache Socket TDP Process Busses Release
Date
Gulftown Core i7-9xxX Extreme Edition 6 12 MB LGA 1366 130 W 32 nm QPI,
3 × DDR3
Mar 2010
Core i7-970 Jul 2010
Bloomfield Core i7-9xx Extreme Edition 4 8 MB 45 nm Nov 2008
Core i7-9xx (except Core i7-970/980)
Lynnfield Core i7-8xx LGA 1156 95 W DMI,
PCI-e,
2 × DDR3
Sep 2009
Core i7-8xxS 82 W Jan 2010
Clarksfield Core i7-9xxXM Extreme Edition rPGA-988A 55 W Sep 2009
Core i7-8xxQM 45 W
Core i7-7xxQM 6 MB
Arrandale Core i7-6xxM 2 4 MB 35 W 32 nm DMI,
PCI-e,
FDI,
2 × DDR3
Jan 2010
Core i7-6xxLM BGA-1288 25 W
Core i7-6xxUM 18 W

2nd generation

[edit]

In early 2011, Intel introduced a new microarchitecture named Sandy Bridge. This is the second generation of the Core processor microarchitecture. It kept all the existing brands from Nehalem, including Core i3/i5/i7, and introduced new model numbers. The initial set of Sandy Bridge processors includes dual- and quad-core variants, all of which use a single 32 nm die for both the CPU and integrated GPU cores, unlike the earlier microarchitectures. All Core i3/i5/i7 processors with the Sandy Bridge microarchitecture have a four-digit model number. With the mobile version, the thermal design power can no longer be determined from a one- or two-letter suffix but is encoded into the CPU number. Starting with Sandy Bridge, Intel no longer distinguishes the code names of the processor based on number of cores, socket or intended usage; they all use the same code name as the microarchitecture itself.

Ivy Bridge is the codename for Intel's 22 nm die shrink of the Sandy Bridge microarchitecture based on tri-gate ("3D") transistors, introduced in April 2012.

Released on January 20, 2011, the Core i3-2xxx line of desktop and mobile processors is a direct replacement of the 2010 "Clarkdale" Core i3-5xx and "Arrandale" Core i3-3xxM models, based on the new microarchitecture. While they require new sockets and chipsets, the user-visible features of the Core i3 are largely unchanged, including the lack of support for Turbo Boost and AES-NI. Unlike the Sandy Bridge-based Celeron and Pentium processors, the Core i3 line does support the new Advanced Vector Extensions. This particular processor is the entry-level processor of this new series of Intel processors.

Codename Brand name (list) Cores L3 cache Socket TDP I/O Bus
Sandy Bridge (Desktop) Core i3-21xx 2 3 MB LGA 1155 65 W Direct Media Interface,
Integrated GPU
Core i3-21xxT 35 W
Sandy Bridge (Mobile) Core i3-2xx0M rPGA-988B
BGA-1023
Core i3-2xx7M BGA-1023 17 W

A Core i5-2500K. The K suffix indicates an unlocked clock multiplier, which allows for easier overclocking.

In January 2011, Intel released new quad-core Core i5 processors based on the "Sandy Bridge" microarchitecture at CES 2011. New dual-core mobile processors and desktop processors arrived in February 2011.

The Core i5-2xxx line of desktop processors are mostly quad-core chips, with the exception of the dual-core Core i5-2390T, and include integrated graphics, combining the key features of the earlier Core i5-6xx and Core i5-7xx lines. The suffix after the four-digit model number designates unlocked multiplier (K), low-power (S) and ultra-low-power (T).

The desktop CPUs now all have four non-SMT cores (like the i5-750), with the exception of the i5-2390T. The DMI bus runs at 5 GT/s.

The mobile Core i5-2xxxM processors are all dual-core and hyper-threaded chips like the previous Core i5-5xxM series, and share most of the features with that product line.

Codename Brand name (list) Cores L3 cache Socket TDP I/O Bus
Sandy Bridge (Desktop) Core i5-2xxx
Core i5-2xxxK
4 6 MB LGA 1155 95 W Direct Media Interface,
Integrated GPU
Core i5-2xxxS 65 W
Core i5-25xxT 45 W
Core i5-23xxT 2 3 MB 35 W
Sandy Bridge (Mobile) Core i5-2xxxM rPGA-988B
BGA-1023
Core i5-2xx7M BGA-1023 17 W

The Core i7 brand was the high-end for Intel's desktop and mobile processors, until the announcement of the i9 in 2017. Its Sandy Bridge models feature the largest amount of L3 cache and the highest clock frequency. Most of these models are very similar to their smaller Core i5 siblings. The quad-core mobile Core i7-2xxxQM/XM processors follow the previous "Clarksfield" Core i7-xxxQM/XM processors, but now also include integrated graphics.

Codename Brand name (list) Cores L3 cache Socket TDP Process I/O Bus Release
Date
Sandy Bridge-E (Desktop) Core i7-39xxX 6 15 MB LGA 2011 130 W 32 nm Direct Media Interface November 2011
Core i7-39xxK 12 MB
Core i7-38xx 4 10 MB
Sandy Bridge (Desktop) Core i7-2xxxK, i7-2xxx 8 MB LGA 1155 95 W Direct Media Interface,
Integrated GPU
January 2011
Core i7-2xxxS 65 W
Sandy Bridge (Mobile) Core i7-2xxxXM rPGA-988B
BGA-1023
55 W
Core i7-28xxQM 45 W
Core i7-2xxxQE, i7-26xxQM, i7-27xxQM 6 MB
Core i7-2xx0M 2 4 MB 35 W February 2011
Core i7-2xx9M BGA-1023 25 W
Core i7-2xx7M 17 W

3rd generation

[edit]

Ivy Bridge is the codename for a "third generation" line of processors based on the 22 nm manufacturing process developed by Intel. Mobile versions of the CPU were released in April 2012 following with desktop versions in September 2012.

The Ivy Bridge-based Core-i3-3xxx line is a minor upgrade to 22 nm process technology and better graphics.

Codename Brand name (list) Cores L3
Cache
Socket TDP I/O Bus
Ivy Bridge (Desktop) Core i3-32xx 2 3 MB LGA 1155 55 W Direct Media Interface,
Integrated GPU
Core i3-32xxT 35 W
Ivy Bridge (Mobile) Core i3-3xx0M rPGA-988B
BGA-1023
Core i3-3xx7U BGA-1023 17 W
Core i3-3xx9Y 13 W

Codename Brand name (list) Cores L3
Cache
Socket TDP I/O Bus
Ivy Bridge (Desktop) Core i5-3xxx
Core i5-3xxxK
4 6 MB LGA 1155 77 W Direct Media Interface,
Integrated GPU
Core i5-3xxxS 65 W
Core i5-35xxT 45 W
Core i5-34xxT 2 3 MB 35 W
Ivy Bridge (Mobile) Core i5-3xx0M rPGA-988B
BGA-1023
Core i5-3xx7U BGA-1023 17 W
Core i5-3xx9Y 13 W

Codename Brand name (list) Cores L3 cache Socket TDP Process I/O Bus Release
Date
Ivy Bridge-E (Desktop) Core i7-4960X 6 15 MB LGA 2011 130 W 22 nm Direct Media Interface September 2013
Core i7-4930K 12 MB
Core i7-4820K 4 10 MB
Ivy Bridge (Desktop) Core i7-37xx, i7-37xxK 8 MB LGA 1155 77 W Direct Media Interface,
Integrated GPU
April 2012
Core i7-37xxS 65 W
Core i7-37xxT 45 W
Ivy Bridge (Mobile) Core i7-3xxxXM 55 W
Core i7-38xxQM 45 W
Core i7-36x0QM, i7-3xx0QE, i7-36x5QM,
i7-3xx5QE, i7-37xxQM
6 MB
Core i7-3xx2QM, i7-3xx2QE 35 W
Core i7-3xxxM 2 4 MB
Core i7-3xxxLE 25 W
Core i7-3xx7U, i7-3xx7UE 17 W
Core i7-3xx9Y 13 W January 2013

4th generation

[edit]

Haswell is the fourth generation Core processor microarchitecture, and was released in 2013.

Codename Brand name (list) Cores L3 cache GPU Model Socket TDP Process I/O Bus Release
Date
Haswell-DT (Desktop) Core i3-43xx 2 4 MB HD 4600 LGA 1150 54 W 22 nm Direct Media Interface,
Integrated GPU
September 2013
Core i3-43xxT, Core i3-4xxxTE 35 W
Core i3-41xx 3 MB HD 4400 54 W
Core i3-41xxT 35 W
Haswell-MB (Mobile) Core i3-4xx2E HD 4600 BGA 1364 25 W
Core i3-4xx0E 37 W
Core i3-4xxxM Socket G3
Core i3-4xx8U Iris 5100 BGA 1168 28 W June 2013
Core i3-4xx0U, Core i3-4xx5U HD 4400 15 W
Core i3-4xxxY HD 4200 11.5 W

Codename Brand name (list) Cores L3 cache GPU Model Socket TDP Process I/O Bus Release Date
Haswell-DT (Desktop) Core i5-4xxx, i5-46xxK 4 6 MB HD 4600 LGA 1150 84 W 22 nm Direct Media Interface,
Integrated GPU
June 2013
Core i5-4xxxS 65 W
Core i5-46xxT 45 W
Core i5-45xxT, Core i5-45xxTE 2 4 MB 35 W
65 W
Haswell-H (MCP) Core i5-4xxxR 4 4 MB Iris Pro 5200 BGA 1364 65 W
Haswell-MB (Mobile) Core i5-4xxxH 2 3 MB HD 4600 47 W September 2013
Core i5-4xx2E 25 W
Core i5-4xx0E 37 W
Core i5-4xxxM Socket G3
Core i5-4xx8U Iris 5100 BGA1168 28 W June 2013
Core i5-4x50U HD 5000 15 W
Core i5-4x00U HD 4400
Core i5-4xxxY HD 4200 11.5 W

Codename Brand name (list) Cores L3 cache GPU Model Socket TDP Process I/O Bus Release
Date
Haswell-E (Desktop)[61] Core i7-5960X 8 20 MB N/A LGA 2011-3 140 W 22 nm Direct Media Interface September 2014
Core i7-5930K 6 15 MB
Core i7-5820K
Haswell-DT (Desktop) Core i7-47xx, i7-47xxK 4 8 MB HD 4600 LGA 1150 84 W Direct Media Interface,
Integrated GPU
June 2013
Core i7-47xxS 65 W
Core i7-47x0T 45 W
Core i7-47x5T 35 W
Core i7-47xxR 6 MB Iris Pro 5200 BGA 1364 65 W
Haswell-MB (Mobile) Core i7-4x50HQ, Core i7-4x60HQ
Core i7-4x50EQ, Core i7-4x60EQ
47 W
Core i7-47x2HQ, Core i7-47x2EQ
Core i7-470xHQ, Core i7-470xEQ
HD 4600 37 W
47 W
Core i7-47x2MQ
Core i7-470xMQ
Socket G3 37 W
47 W
Core i7-49xxMQ, Core i7-4xxxXM 8 MB 57 W
Core i7-4xxxM 2 4 MB 35 W September 2013
Core i7-4xx8U Iris 5100 BGA 1168 28 W June 2013
Core i7-4x50U HD 5000 15 W
Core i7-4x00U HD 4400
Core i7-4xxxY HD 4200 11.5 W

5th generation

[edit]

Broadwell is the fifth generation Core processor microarchitecture, and was released by Intel on September 6, 2014, and began shipping in late 2014. It is the first to use a 14 nm chip.[62] Additionally, mobile processors were launched in January 2015[63] and Desktop Core i5 and i7 processors were released in June 2015.[64]

Desktop processor (DT-Series)

Processor branding Model (list) Cores
(Threads)
L3 cache GPU Model Socket TDP Process I/O Bus Release
Date
Core i7 5775C 4 (8) 6 MB Iris 6200 LGA 1150 65 W 14 nm Direct Media Interface,

Integrated GPU

June 2015
5775R
Core i5 5675C 4 (4) 4 MB
5675R
5575R

Mobile processors (U-Series)

Processor branding Model (list) Cores
(Threads)
L3 cache GPU Model Socket TDP Process I/O Bus Release
Date
Core i7 5xx7U 2 (4) 4 MB Iris 6100 BGA 1168 28 W 14 nm Direct Media Interface,
Integrated GPU
January 2015
5x50U HD 6000 15 W
5x00U HD 5500
Core i5 5xx7U 2 (2) 3 MB Iris 6100 28 W
5x50U HD 6000 15 W
5x00U HD 5500
Core i3 5xx7U Iris 6100 28 W
5xx5U HD 5500 15 W
5xx0U

Mobile Processors (Y-Series)

Processor branding Model (list) Cores
(Threads)
L3 cache GPU Model Socket TDP Process I/O Bus Release
Date
Core M 5Yxx 2 (2) 4 MB HD 5300 BGA 1234 4.5 W 14 nm Direct Media Interface,
Integrated GPU
September 2014

6th generation

[edit]
Broadwell microarchitecture
[edit]
Processor branding Model (list) Cores (Threads) L3 cache GPU Model Socket TDP Process I/O Bus Release
Date
Core i7 6800K 6 (12) 15 MB N/A LGA 2011-3 140 W 14 nm Direct Media Interface Q2'16
6850K
6900K 8 (16) 20 MB
6950X 10 (20) 25 MB
Skylake microarchitecture
[edit]

Skylake is the sixth generation Core processor microarchitecture, and was launched in August 2015. Being the successor to the Broadwell line, it is a redesign using the same 14 nm manufacturing process technology; however the redesign has better CPU and GPU performance and reduced power consumption. Intel also disabled overclocking non -K processors.

Desktop processors (DT-Series)
Processor branding Model Cores/Threads L3 cache GPU Model Socket TDP Process I/O Bus Release Date
Core i7 6700K 4/8 8 MB HD 530 LGA 1151 91 W 14 nm Direct Media Interface,

Integrated GPU

August 2015
6700 65 W September 2015
6700T 35 W
6785R Iris Pro 580 65 W May 2016
Core i5 6600K 4/4 6 MB HD 530 91 W September 2015
6600 65 W
6500
6400
6402P HD 510 December 2015
6xx0R HD 530 35 W June 2016
6xx0T September 2015
Core i3 6320 2/4 4 MB HD 530 51 W
6300
6300T 35 W
6100 3 MB HD 530 51 W
6100T 35 W
6098P HD 510 54 W December 2015
Mobile processors (H-Series)
Processor branding Model Cores/Threads L3 cache GPU Model Socket TDP Process I/O Bus Release Date
Core i3 6100H 2/4 3 MB HD 530 FBGA 1356 35 W 14 nm Direct Media Interface,

Integrated GPU

September 2015
Mobile processors (U-Series)
Processor branding Model Cores/Threads L3 cache GPU Model Socket TDP Process I/O Bus Release Date
Core i7 6650U 2/4 4 MB Iris 540 FCBGA 1356 15 W 14 nm Direct Media Interface,

Integrated GPU

September 2015
6600U HD 520 25 W
6567U Iris 550 28 W
6x60U Iris 540 15 W
6x00U HD 520
Core i5 62x7U Iris 550 28 W
6360U Iris 540 9.5 W
6300U HD 520 15 W
6260U Iris 540
6200U 3 MB HD 520
Core i3 6167U HD 550 28 W
6100U HD 520 15 W
6006U HD 520 November 2016

7th generation

[edit]
Skylake microarchitecture
[edit]
High-end Desktop processors (X-Series)
Processor branding Model Cores/Threads L3 cache Socket TDP Process I/O Bus Price
Core i9 7980XE 18/36 24.75 MB LGA 2066 165 W 14 nm Direct Media Interface $1999
7960X 16/32 22 MB $1699
7940X 14/28 19.25 MB $1399
7920X 12/24 16.5 MB 140 W $1199
7900X 10/20 13.75 MB $999
Core i7 7820X 8/16 11 MB $599
7800X 6/12 8.25 MB $389
Kaby Lake
[edit]

Kaby Lake is the codename for the seventh generation Core processor, and was launched in October 2016 (mobile chips)[65] and January 2017 (desktop chips).[66] With the latest generation of microarchitecture, Intel decided to produce Kaby Lake processors without using their "tick–tock" manufacturing and design model.[67] Kaby Lake features the same Skylake microarchitecture and is fabricated using Intel's 14 nanometer manufacturing process technology.[67]

Built on an improved 14 nm process (14FF+), Kaby Lake features faster CPU clock speeds and Turbo frequencies. Beyond these process and clock speed changes, little of the CPU architecture has changed from Skylake, resulting in identical IPC.

Kaby Lake features a new graphics architecture to improve performance in 3D graphics and 4K video playback. It adds native High-bandwidth Digital Content Protection 2.2 support, along with fixed function decode of H.264/MPEG-4 AVC, High Efficiency Video Coding Main and Main10/10-bit, and VP9 10-bit and 8-bit video. Hardware encode is supported for H.264/MPEG-4 AVC, HEVC Main10/10-bit, and VP9 8-bit video. VP9 10-bit encode is not supported in hardware. OpenCL 2.1 is now supported.

Kaby Lake is the first Core architecture to support hyper-threading for the Pentium-branded desktop CPU SKU. Kaby Lake also features the first overclocking-enabled i3-branded CPU.

Features common to desktop Kaby Lake CPUs:

  • LGA 1151 socket
  • DMI 3.0 and PCIe 3.0 interfaces
  • Dual channel memory support in the following configurations: DDR3L-1600 1.35 V (32 GiB maximum) or DDR4-2400 1.2 V (64 GiB maximum)
  • A total of 16 PCIe lanes
  • The Core-branded processors support the AVX2 instruction set. The Celeron and Pentium-branded ones support only SSE4.1/4.2
  • 350 MHz base graphics clock rate
  • No L4 cache (eDRAM).
  • A release date of January 3, 2017
Desktop processors (S-Series)
Processor

branding

Model Cores (threads) CPU

clock rate

CPU Turbo clock rate GPU model Maximum

GPU clock rate

L3

cache

TDP Price (USD)
Single core Dual core Quad core
Core i7 7700K 4 (8) 4.2 GHz 4.5 GHz 4.4 GHz 4.4 GHz HD 630 1150 MHz 8 MB 91 W $350
7700 3.6 GHz 4.2 GHz 4.1 GHz 4.0 GHz 65 W $312
7700T 2.9 GHz 3.8 GHz 3.7 GHz 3.6 GHz 35 W
Core i5 7600K 4 (4) 3.8 GHz 4.2 GHz 4.1 GHz 4.0 GHz 6 MB 91 W $243
7600 3.5 GHz 4.1 GHz 4.0 GHz 3.9 GHz 65 W $224
7600T 2.8 GHz 3.7 GHz 3.6 GHz 3.5 GHz 1100 MHz 35 W
7500 3.4 GHz 3.8 GHz 3.7 GHz 3.6 GHz 65 W $202
7500T 2.7 GHz 3.3 GHz 3.2 GHz 3.1 GHz 35 W
7400 3.0 GHz 3.5 GHz 3.4 GHz 3.3 GHz 1000 MHz 65 W $182
7400T 2.4 GHz 3.0 GHz 2.9 GHz 2.7 GHz 35 W $187
Core i3 7350K 2 (4) 4.2 GHz N/A 1150 MHz 4 MB 60 W $179
7320 4.1 GHz 51 W $157
7300 4.0 GHz $147
7300T 3.5 GHz 1100 MHz 35 W
7100 3.9 GHz 3 MB 51 W $117
7100T 3.4 GHz 35 W
7101E 3.9 GHz 54 W
7101TE 3.4 GHz 35 W
Mobile Processors (H-Series)
Processor

branding

Model Cores (threads) CPU

clock rate

CPU Turbo clock rate GPU GPU clock rate L3

cache

Max. PCIe lanes TDP cTDP Release date Price (USD)
Single core Dual core Quad core Base Max. Up Down
Core i7 7920HQ 4 (8) 3.1 GHz 4.1 GHz 3.9 GHz 3.7 GHz HD 630 350 MHz 1100 MHz 8 MB 16 45 W N/A 35 W Q1 2017 $568
7820HQ 2.9 GHz 3.9 GHz 3.7 GHz 3.5 GHz $378
7820HK
7700HQ 2.8 GHz 3.8 GHz 3.6 GHz 3.4 GHz 6 MB
Core i5 7440HQ 4 (4) 1000 MHz $250
7300HQ 2.5 GHz 3.5 GHz 3.3 GHz 3.1 GHz
Core i3 7100H 2 (4) 3.0 GHz N/A 950 MHz 3 MB 35 W N/A $225
Mobile Processors (U-Series)
Processor

branding

Model Cores

(threads)

CPU

clock rate

CPU Turbo clock rate GPU GPU clock rate L3

cache

L4

cache

Max. PCIe lanes TDP cTDP Release date Price (USD)
Single core Dual core Base Max. Up Down
Core i7 7660U 2 (4) 2.5 GHz 4.0 GHz ? Iris Plus 640 300 MHz 1100 MHz 4 MB 64 MB 12 15 W N/A 9.5 W Q1 2017 ?
7600U 2.8 GHz 3.9 GHz HD 620 1150 MHz N/A 25 W 7.5 W $393
7567U 3.5 GHz 4.0 GHz Iris Plus 650 64 MB 28 W N/A 23 W ?
7560U 2.4 GHz 3.8 GHz Iris Plus 640 1050 MHz 15 W 9.5 W
7500U 2.7 GHz 3.5 GHz HD 620 N/A 25 W 7.5 W Q3 2016 $393
Core i5 7360U 2.3 GHz 3.6 GHz Iris Plus 640 1000 MHz 4 MB 64 MB 12 15 W N/A 9.5 W Q1 2017 ?
7300U 2.6 GHz 3.5 GHz HD 620 1100 MHz 3 MB N/A 12 15 W 25 W 7.5 W $281
7287U 3.3 GHz 3.7 GHz Iris Plus 650 4 MB 64 MB 28 W N/A 23 W ?
7267U 3.1 GHz 3.5 GHz 1050 MHz
7260U 2.2 GHz 3.4 GHz Iris Plus 640 950 MHz 15 W 9.5 W
7200U 2.5 GHz 3.1 GHz HD 620 1000 MHz 3 MB N/A 25 W 7.5 W Q3 2016 $281
Core i3 7167U 2.8 GHz N/A Iris Plus 650 1000 MHz 3 MB 64 MB 12 28 W N/A 23 W Q1 2017 ?
7100U 2.4 GHz HD 620 N/A 15 W 7.5 W Q3 2016 $281
Mobile Processors (Y-Series)
Processor

branding

Model Cores

(threads)

CPU

clock rate

CPU Turbo clock rate GPU GPU clock rate L3

cache

Max. PCIe lanes TDP cTDP Release date Price (USD)
Single core Dual core Base Max. Up Down
Core i7 7Y75 2 (4) 1.3 GHz 3.6 GHz 3.4 GHz HD 615 300 MHz 1050 MHz 4 MB 10 4.5 W 7 W 3.5 W Q3 2016 $393
Core i5 7Y57 1.2 GHz 3.3 GHz 2.9 GHz 950 MHz Q1 2017 $281
7Y54 3.2 GHz 2.8 GHz Q3 2016
Core i3 7Y30 1.0 GHz 2.6 GHz ? 900 MHz
7Y32 1.1 GHz 3.0 GHz Q2 2017

Kaby Lake-X processors are modified versions of Kaby Lake-S processors that fit into the LGA 2066 socket. However, they can't take advantage of the unique features of the platform.

High-end Desktop processors (X-Series)
Processor

branding

Model Cores (threads) CPU

clock rate

CPU Turbo clock rate L3

cache

TDP Price (USD)
Single core Dual core Quad core
Core i7 7740X 4 (8) 4.3 GHz 4.5 GHz 4.4 GHz 4.4 GHz 8 MB 112 W $339
Core i5 7640X 4 (4) 4.0 GHz 4.2 GHz 4.1 GHz 4.0 GHz 6 MB $242

8th generation

[edit]
Kaby Lake Refresh
[edit]
Mobile processors (U-Series)
Processor
branding
Model Cores
(threads)
CPU
clock
rate
CPU Turbo clock rate GPU GPU clock rate L3
cache
L4
cache
Max.
PCIe
lanes
TDP cTDP Release
date
Price
(USD)
Single
core
Dual
core
Quad
core
Base Max. Up Down
Core i7 8650U 4 (8) 1.9 GHz 4.2 GHz 3.9 GHz UHD 620 300 MHz 1150 MHz 8 MB 12 15 W 25 W 10 W Q3 2017 $409
8550U 1.8 GHz 4.0 GHz 3.7 GHz
Core i5 8350U 1.7 GHz 3.6 GHz 1100 MHz 6 MB $297
8250U 1.6 GHz 3.4 GHz
Coffee Lake microarchitecture
[edit]

Coffee Lake is a codename for the eighth generation Intel Core family and was launched in October 2017. For the first time in the ten-year history of Intel Core processors, the Coffee Lake generation features an increase in core counts across the desktop lineup of processors, a significant driver of improved performance versus previous generations despite similar per-clock performance.

Increase in number of CPU cores in desktop Coffee Lake processors
Kaby Lake
(7th Generation)
Coffee Lake
(8th Generation)
Cores / Threads Cores / Threads
Core i3 2 / 4 4 / 4
Core i5 4 / 4 6 / 6
Core i7 4 / 8 6 / 12

* Intel Hyper-threading capabilities allow an enabled processor to execute two threads per physical core

Coffee Lake features largely the same CPU core and performance per MHz as Skylake/Kaby Lake.[68][69] Features specific to Coffee Lake include:

  • Following similar refinements to the 14 nm process in Skylake and Kaby Lake, Coffee Lake is the third 14 nm process refinement ("14nm++") and features increased transistor gate pitch for a lower current density and higher leakage transistors which allows higher peak power and higher frequency at the expense of die area and idle power.
  • Coffee Lake will be used in conjunction with the 300-series chipset and is incompatible with the older 100- and 200-series chipsets.[70][71]
  • Increased L3 cache in accordance to the number of cores
  • Increased turbo clock speeds across i5 and i7 CPUs models (increased by up to 200 MHz)
  • Increased iGPU clock speeds by 50 MHz
  • DDR4 memory support updated for 2666 MHz (for i5 and i7 parts) and 2400 MHz (for i3 parts); DDR3 memory is no longer supported
Desktop processors (S-Series)
Processor
branding
Model Cores

(threads)

Base CPU
clock rate
Turbo clock rate[72] [GHz] GPU max GPU
clock rate
L3
cache
TDP Memory
support
Price
(USD)
Number of cores used
1 2 3 4 5 6
Core i7 8086K 6 (12) 4.0 GHz 5.0 4.6 4.5 4.4 4.3 UHD 630 1.20 GHz 12 MB 95 W DDR4

2666

$425
8700K 3.7 GHz 4.7 $359
8700 3.2 GHz 4.6 4.5 4.4 4.3 65 W $303
8700T 2.4 GHz 4.0 4.0 3.9 3.8 35 W
Core i5 8600K 6 (6) 3.6 GHz 4.3 4.2 4.1 1.15 GHz 9 MB 95 W $257
8600 3.1 GHz 65 W $213
8600T 2.3 GHz 3.7 3.6 3.5 35 W
8500 3.0 GHz 4.1 4.0 3.9 1.10 GHz 65 W $192
8500T 2.1 GHz 3.5 3.4 3.3 3.2 35 W
8400 2.8 GHz 4.0 3.9 3.8 1.05 GHz 65 W $182
8400T 1.7 GHz 3.3 3.2 3.1 3.0 35 W
Core i3 8350K 4 (4) 4.0 GHz 1.15 GHz 8 MB 91 W DDR4

2400

$168
8300 3.7 GHz 62 W $138
8300T 3.2 GHz 35 W
8100 3.6 GHz 1.10 GHz 6 MB 65 W $117
8100T 3.1 GHz 35 W

* Processors Core i3-8100 and Core i3-8350K with stepping B0 actually belong to "Kaby Lake-S" family

Mobile processors (H-Series)
Processor

branding

Model Cores

(threads)

CPU

clock rate

Max. Turbo

clock rate

GPU GPU clock rate L3

cache

TDP cTDP Price

(USD)

Base Max. Down Up
Core i7 8850H 6 (12) 2.6 GHz 4.3 GHz UHD 630 350 MHz 1.15 GHz 9 MB 45 W 35 W N/A $395
8750H 2.2 GHz 4.1 GHz 1.10 GHz
8700B 3.2 GHz 4.6 GHz 1.20 GHz 12 MB 65 W $303
Core i5 8500B 6 (6) 3.0 GHz 4.1 GHz 1.10 GHz 9 MB $192
8400B 2.8 GHz 4.0 GHz 1.05 GHz $182
8400H 4 (8) 2.5 GHz 4.2 GHz 1.10 GHz 8 MB 45 W $250
8300H 2.3 GHz 4.0 GHz 1.00 GHz $250
Core i3 8100H 4 (4) 3.0 GHz N/A 6 MB $225
Mobile processors (U-Series)
Processor

branding

Model Cores

(threads)

CPU

clock rate

Max. Turbo

clock rate

GPU GPU clock rate L3

cache

L4 cache

(eDRAM)

TDP cTDP Price

(USD)

Base Max. Down Up
Core i7 8559U 4 (8) 2.7 GHz 4.5 GHz Iris Plus 655 300 MHz 1.20 GHz 8 MB 128 MB 28 W 20 W N/A $431
Core i5 8269U 2.6 GHz 4.2 GHz 1.10 GHz 6 MB $320

8259U

2.3 GHz 3.8 GHz 1.05 GHz N/A
Core i3 8109U 2 (4) 3.0 GHz 3.6 GHz UHD 630 1.10 GHz 4 MB
Amber Lake microarchitecture
[edit]

Amber Lake is a refinement over the low power Mobile Kaby Lake CPUs.

Mobile Processors (Y-Series)
Processor

branding

Model Cores

(threads)

CPU clock rate GPU Max GPU

clock rate

L3

cache

TDP cTDP Price
Base Max turbo Up Down
Core i7 8510Y Archived July 28, 2020, at the Wayback Machine 2 (4) 1.8 GHz 3.9 GHz UHD 617 1050 MHz 4 MB 7 W N/A $393
8500Y 1.5 GHz 4.2 GHz UHD 615 5 W 7 W 3.5 W $393
Core i5 8310Y 1.6 GHz 3.9 GHz UHD 617 7 W N/A $281
8210Y 3.6 GHz
8200Y 1.3 GHz 3.9 GHz UHD 615 950 MHz 5 W 7 W 3.5 W $291
Core m3 8100Y 1.1 GHz 3.4 GHz 900 MHz 8 W 4.5 W $281
Whiskey Lake microarchitecture
[edit]

Whiskey Lake is Intel's codename for the third 14 nm Skylake process-refinement, following Kaby Lake Refresh and Coffee Lake. Intel announced low power mobile Whiskey Lake CPUs availability on August 28, 2018.[73][74] It has not yet been advertised whether this CPU architecture contains hardware mitigations for Meltdown/Spectre class vulnerabilities—various sources contain conflicting information.[75][76][74][77] Unofficially it was announced that Whiskey Lake has hardware mitigations against Meltdown and L1TF while Spectre V2 requires software mitigations as well as microcode/firmware update.[78][79][80][81]

Mobile processors (U-Series)
Processor

branding

Model Cores

(threads)

CPU

clock rate

Turbo clock GHz

Num of cores

GPU Max GPU

clock rate

L3

cache

cTDP Memory Price
1 2 4 Up Down
Core i7 8665U 4 (8) 1.9 GHz 4.8 UHD
620
1150 MHz 8 MB 25 W 10 W DDR4-2400

LPDDR3-2133

$409
8565U 1.8 GHz 4.6 4.5 4.1 $409
Core i5 8365U 1.6 GHz 4.1 1100 MHz 6 MB $297
8265U 3.9 3.9 3.7 $297
Core i3 8145U 2 (4) 2.1 GHz 3.9 3.7 1000 MHz 4 MB $281
Cannon Lake microarchitecture
[edit]

Cannon Lake (formerly Skymont) is Intel's codename for the 10-nanometer die shrink of the Kaby Lake microarchitecture. As a die shrink, Cannon Lake is a new process in Intel's "process–architecture–optimization" execution plan as the next step in semiconductor fabrication.[82] Cannon Lake are the first mainstream CPUs to include the AVX-512 instruction set. In comparison to the previous generation AVX2 (AVX-256), the new generation AVX-512 most notably provides double the width of data registers and double the number of registers. These enhancements would allow for twice the number of floating point operations per register due to the increased width in addition to doubling the overall number of registers, resulting in theoretical performance improvements of up to four times the performance of AVX2.[83][84]

At CES 2018, Intel announced that they had started shipping mobile Cannon Lake CPUs at the end of 2017 and that they would ramp up production in 2018.[85][86][87] No further details were disclosed.

Mobile processors (U-Series)
Processor

branding

Model Cores

(threads)

CPU

clock rate

CPU Turbo

clock rate

GPU GPU clock rate L3

cache

TDP cTDP Price

(USD)

Base Max. Down
Core i3 8121U[88][89] 2 (4) 2.2 GHz 3.2 GHz N/A 4 MB 15 W N/A ?

9th generation

[edit]
Skylake microarchitecture
[edit]

The 9th generation Coffee Lake CPUs are updated versions of previous Skylake X-Series CPUs with clockspeed improvements.

High-end Desktop processors (X-Series)
Processor branding Model Cores/Threads Base Clock Single Core Turbo Clock L3 cache TDP Price
Core i9 9980XE 18/36 3.0 GHz 4.5 GHz 24.75 MB 165 W $1979
9960X 16/32 3.1 GHz 22 MB $1684
9940X 14/28 3.3 GHz 19.25 MB $1387
9920X 12/24 3.5 GHz $1189
9900X 10/20 $989
9820X 3.3 GHz 4.2 GHz 16.5 MB $889
Core i7 9800X 8/16 3.8 GHz 4.5 GHz $589
Coffee Lake Refresh microarchitecture
[edit]

The 9th generation Coffee Lake CPUs were released in the fourth quarter of 2018. They include hardware mitigations against certain Meltdown/Spectre vulnerabilities.[90][91]

For the first time in Intel consumer CPU history, these CPUs support up to 128 GB RAM.[92]

Increase in number of CPU cores in desktop 9th Generation processors
8th Generation 9th Generation
Cores / Threads Cores / Threads
Core i3 4 / 4 4 / 4
Core i5 6 / 6 6 / 6
Core i7 6 / 12 8 / 8
Core i9 6 / 12 8 / 16

* Intel Hyper-threading capabilities allow an enabled processor to execute two threads per physical core

Even though the F suffix CPUs lack an integrated GPU, Intel set the same price for these CPUs as their featureful counterparts.[93]

Desktop processors (S-Series)
Processor
branding
Model Cores

(Threads)

Base CPU
clock rate
Turbo clock rate[94] [GHz] GPU max GPU
clock rate
L3
cache
TDP Memory

support

Price
(USD)
Number of cores used
1 2 3 4 5 6 7 8
Core i9 9900KS 8 (16) 4.0 GHz 5.0 UHD 630 1.20 GHz 16 MB 127 W * DDR4-2666 $524
9900K 3.6 GHz 5.0 4.8 4.7 95 W * $488
9900KF
Core i7 9700K 8 (8) 3.6 GHz 4.9 4.8 4.7 4.6 UHD 630 1.20 GHz 12 MB 95 W $374
9700KF
Core i5 9600K 6 (6) 3.7 GHz 4.6 4.5 4.4 4.3 UHD 630 1.15 GHz 9 MB $262
9600KF
9400 2.9 GHz 4.1 UHD 630 1.05 GHz 65 W $182
9400F
Core i3 9350KF 4 (4) 4.0 GHz 4.6 8 MB 91 W DDR4-2400 $173
9100F 3.6 GHz 4.2 6 MB 65 W $122
9100 UHD 630 1.1 GHz

* various reviews show that the Core i9 9900K CPU may consume over 140 W under load. The Core i9 9900KS may consume even more.[95][96][97][98]

Mobile processors (H-Series)
Processor
branding
Model Cores

(Threads)

Base CPU
clock rate
Single Core Turbo clock rate [GHz] GPU Max GPU
clock rate
L3
cache
TDP Memory
support
Price
(USD)
Core i9 9980HK 8 (16) 2.4 GHz 5.0 HD 630 1.25 GHz 16 MB 45 W DDR4-2666 $583
9880H 2.3 GHz 4.8 1.20 GHz $556
Core i7 9850H 6 (12) 2.6 GHz 4.6 1.15 GHz 12 MB $395
9750H 4.5
Core i5 9400H 4 (8) 2.5 GHz 4.3 1.10 GHz 8 MB $250
9300H 2.4 GHz 4.1 1.05 GHz

10th generation

[edit]
Cascade Lake microarchitecture
[edit]

Cascade Lake X-Series CPUs are the 10th generation versions of the previous Skylake X-Series CPUs. They offer minor clockspeed improvements and a highly reduced price.

High-end Desktop processors (X-Series)
Processor branding Model Cores/Threads Base Clock Single Core Turbo Clock All Core Turbo Clock L3 cache TDP Price
Core i9 10980XE 18/36 3.0 GHz 4.8 GHz 3.8 GHz 24.75 MB 165 W $979
10940X 14/28 3.3 GHz 4.1 GHz 19.25 MB $784
10920X 12/24 3.5 GHz 4.3 GHz $689
10900X 10/20 3.7 GHz 4.7 GHz $590
Ice Lake microarchitecture
[edit]

Ice Lake is codename for Intel's 10th generation Intel Core processors, representing an enhancement of the 'architecture' of the preceding generation Kaby Lake/Cannon Lake processors (as specified in Intel's process–architecture–optimization execution plan). As the successor to Cannon Lake, Ice Lake uses Intel's newer 10 nm+ fabrication process, and is powered by the Sunny Cove microarchitecture.

Ice Lake are the first Intel CPUs to feature in-silicon mitigations for the hardware vulnerabilities discovered in 2017, Meltdown and Spectre. These side-channel attacks exploit branch prediction's use of speculative execution. These exploits may cause the CPU to reveal cached private information which the exploiting process is not intended to be able to access as a form of timing attack.[citation needed]

Mobile processors (U-Series)
Processor
branding
Model Cores
(threads)
Base CPU
clock rate
Turbo clock GHz

Num of cores

GPU L3
cache
TDP cTDP Price
1 2 4 Series EUs Max clock
rate
Up Down
Core i7 1065G7 4 (8) 1.3 GHz 3.9 3.5 Iris Plus 64 1.1 GHz 8 MiB 15 W 25 W 12 W $426
Core i5 1035G7 1.2 GHz 3.7 3.3 1.05 GHz 6 MiB 15 W 25 W 12 W $320
1035G4 1.1 GHz 48 $309
1035G1 1.0 GHz 3.6 UHD 32 13 W $297
Core i3 1005G1 2 (4) 1.2 GHz 3.4 UHD 32 0.9 GHz 4 MiB 15 W 25 W 13 W $281
Mobile processors (Y-Series)
Processor
branding
Model Cores
(threads)
Base CPU
clock rate
Turbo clock GHz

Num of cores

GPU L3
cache
TDP cTDP Price
1 2 4 Series EUs Max clock
rate
Up Down
Core i7 1060G7 4 (8) 1.0 GHz 3.8 3.4 Iris Plus 64 1.1 GHz 8 MiB 9 W 12 W
Core i5 1030G7 0.8 GHz 3.5 3.2 Iris Plus 64 6 MiB 9 W 12 W
1030G4 0.7 GHz 48
Core i3 1000NG4 2 (4) 1.1 GHz 3.2 Iris Plus 48 0.9 GHz 4 MiB 9 W

1000G4

12 W
1000G1 UHD 32
Comet Lake microarchitecture
[edit]

Comet Lake is Intel's codename for the fourth 14 nm Skylake process-refinement, following Whiskey Lake. Intel announced low power mobile Comet Lake CPUs availability on August 21, 2019.[99]

Increase in number of CPU cores in desktop 10th generation processors
9th generation 10th generation
Cores / threads Cores / threads
Core i3 4 / 4 4 / 8
Core i5 6 / 6 6 / 12
Core i7 8 / 8 8 / 16
Core i9 8 / 16 10 / 20
Desktop processors (S-Series)
Processor
branding
Model Cores

(Threads)

CPU clock rate (GHz) GPU Smart
cache

(MB)

TDP Memory
support
Price
(USD)
Base All-Core

Turbo

Turbo

Boost 2.0

Turbo Boost

Max 3.0

Model max

clock

rate

(GHz)

Down Base
Core i9 10900K 10 (20) 3.7 4.8 5.1 5.2 UHD

630

1.20 20 95 125 DDR4-2933

2-channel

up to 128 GB

$488
10900KF $472
10910 3.6 4.7 5.0 UHD

630

1.20 OEM
10900 2.8 4.5 5.1 65 $438
10900F $422
10900T 1.9 3.7 4.5 4.6 UHD

630

1.20 25 35 $438
10850K 3.6 4.7 5.0 5.1 95 125 $453
Core i7 10700K 8 (16) 3.8 16 $374
10700KF $349
10700 2.9 4.6 4.7 4.8 UHD

630

1.20 65 $323
10700F $298
10700T 2.0 3.7 4.4 4.5 UHD

630

1.20 25 35 $325
Core i5 10600K 6 (12) 4.1 4.5 4.8 12 95 125 DDR4-2666

2-channel

up to 128 GB

$262
10600KF $237
10600 3.3 4.4 4.8 UHD

630

1.20 65 $213
10600T 2.4 3.7 4.0 25 35
10500 3.1 4.2 4.5 1.15 65 $192
10500T 2.3 3.5 3.8 25 35
10400 2.9 4.0 4.3 1.10 65 $182
10400F $157
10400T 2.0 3.2 3.6 UHD

630

1.10 25 35 $182
Core i3 10320 4 (8) 3.8 4.4 4.6 1.15 8 65 $154
10300 3.7 4.2 4.4 $143
10300T 3.0 3.6 3.9 1.10 25 35
10100 3.6 4.1 4.3 6 65 $122
10100F $79 - $97
10100T 3.0 3.5 3.8 UHD

630

1.10 25 35 p
Mobile processors (H-Series)
Processor

branding

Model Cores

(Threads)

CPU clock speed (GHz) GPU Smart

cache

(MB)

TDP

(W)

Memory

support

Price

(USD)

Base Max.

Turbo

Model Max.

freq.

(GHz)

Down Base Up
Core i9 10980HK 8 (16) 2.4 5.3 UHD 630 1.25 16 45 65 DDR4-2933

2-channel

up to 128 GB

$583
10885H 35 $556
Core i7 10875H 2.3 5.1 1.20 $450
10870H 2.2 5.0 $417
10850H 6 (12) 2.7 5.1 1.15 12 $395
10750H 2.6 5.0
Core i5 10500H 2.5 4.5 1.05 $250
10400H 4 (8) 2.6 4.6 1.10 8
10300H 2.5 4.5 1.05
10200H 2.4 4.1 UHD 610
Mobile processors (U-Series)
Processor

branding

Model Cores

(Threads)

CPU clock speed (GHz) GPU L3

cache

(MB)

TDP Memory

support

Price

(USD)

Base Max.

Turbo

Model Max.

freq.

Down Base Up
Core i7 10810U 6 (12) 1.1 4.9 UHD

620

1.15 12 12.5 15 25 DDR4-2666

LPDDR3-2133

$443
10710U 4.7
10610U 4 (8) 1.8 4.9 8 10 $409
10510U
Core i5 10310U 1.7 4.4 6 $297
10210U 1.6 4.2 1.10
Core i3 10110U 2 (4) 2.1 4.1 1.00 4 $281
Comet Lake Refresh microarchitecture
[edit]
Processor
branding
Model Cores

(Threads)

CPU clock rate (GHz) GPU Smart
cache

(MB)

TDP Memory
support
Price
(USD)
Base All-Core

Turbo

Turbo

Boost 2.0

Model Max.

freq.

Down Base
Core i5 10505 6 (12) 3.2 4.3 4.6 UHD

630

1.2 12 N/A 65 DDR4-2666

2-channel

up to 128 GB

$192
Core i3 10325 4 (8) 3.9 4.5 4.7 1.15 8 65 $154
10305 3.8 4.3 4.5 $143
10305T 3.0 3.7 4.0 1.10 25 35
10105 3.7 4.2 4.4 6 65 $122
10105F $97
10105T 3.0 3.6 3.9 UHD

630

1.10 25 35 $122
Amber Lake Refresh microarchitecture
[edit]
List of Amber Lake Refresh Y-series processors
Processor branding Model Cores (threads) CPU clock rate Turbo Boost clock rate GPU Max GPU clock rate L3 cache TDP cTDP Memory Price
1 core 2 cores 4 cores Up Down
Core i7 10510Y 4 (8) 1.2 GHz 4.5 GHz 3.2 GHz UHD for 10th Gen Processors 1150 MHz 8 MB 7 W 9 W 4.5 W LPDDR3-2133 US$403
Core i5 10310Y 1.1 GHz 4.1 GHz 2.8 GHz 1050 MHz 6 MB 5.5 W US$292
10210Y 1.0 GHz 4.0 GHz 2.7 GHz 4.5 W
Core i3 10110Y 2 (4) 3.7 GHz 1000 MHz 4 MB 5.5 W US$287

11th generation

[edit]
Tiger Lake
[edit]

Launched on September 2, 2020.

  • All models support DDR4-3200 memory
  • All models support 20 reconfigurable PCI Express 4.0 lanes, allowing x16 Gen 4 link for discrete GPU and x4 Gen 4 link for M.2 SSDs
Mobile processors (Tiger Lake-H)
[edit]
Processor

branding

Model Cores

(threads)

Base freq at TDP Max Turbo freq, active cores UHD Graphics Smart

cache

TDP Price
@35 W @45 W @65 W 1 or 2 4 6 All EUs Max freq
Core i9 11980HK 8 (16) 2.6 GHz 3.3 GHz 5.0 GHz 4.9 GHz 4.7 GHz 4.5 GHz 32 1.45 GHz 24 MB 45-65 W $583
11950H vPro 2.1 GHz N/A 35-45 W $556
11900H 2.5 GHz 4.9 GHz 4.8 GHz 4.6 GHz 4.4 GHz $546
Core i7 11850H vPro 4.8 GHz 4.8 GHz 4.6 GHz 4.3 GHz $395
11800H 1.9 GHz 2.3 GHz 4.6 GHz 4.5 GHz 4.4 GHz 4.2 GHz
Core i5 11500H vPro 6 (12) 2.4 GHz 2.9 GHz 4.6 GHz 4.4 GHz 4.2 GHz 12 MB $250
11400H 2.2 GHz 2.7 GHz 4.5 GHz 4.3 GHz 4.1 GHz 16
11260H 2.1 GHz 2.6 GHz 4.4 GHz 4.2 GHz 4.0 GHz 1.40 GHz
Mobile processors (Tiger Lake-H35)
[edit]
  • All models support DDR4-3200 or LPDDR4X-4267 memory
Processor

branding

Model Cores

(threads)

Base freq at TDP Max Turbo freq

active cores

Iris Xe Graphics Smart

cache

TDP Price
@28 W @35 W 1 2 All EUs Max freq
Core i7 11390H 4 (8) 2.9 GHz 3.4 GHz 5.0 GHz 4.6 GHz 96 1.40 GHz 12 MB 28-35 W $426
11375H 3.0 GHz 3.3 GHz 5.0 GHz 4.8 GHz 4.3 GHz 1.35 GHz $482
11370H 4.8 GHz $426
Core i5 11320H 2.5 GHz 3.2 GHz 4.5 GHz 8 MB $309
11300H 2.6 GHz 3.1 GHz 4.4 GHz 4.0 GHz 80 1.30 GHz
Mobile processors (UP3-class)
[edit]
Processor

branding

Model Cores

(threads)

Base freq at TDP Max Turbo freq GPU Smart

cache

TDP Memory

support

Price
@12 W @15 W @28 W 1 Core All Cores Series EUs Max freq
Core i7 1195G7 4 (8) 1.3 GHz 2.9 GHz 5.0 GHz 4.6 GHz Iris Xe 96 1.40 GHz 12 MB 12-28 W DDR4-3200

LPDDR4X-4267

$426
1185G7 vPro 1.2 GHz 1.8 GHz[100] 3.0 GHz 4.8 GHz 4.3 GHz 1.35 GHz
1165G7 1.2 GHz 1.7 GHz 2.8 GHz 4.7 GHz 4.1 GHz 1.30 GHz
Core i5 1155G7 1.0 GHz 2.5 GHz 4.5 GHz 4.3 GHz 80 1.35 GHz 8 MB $309
1145G7 vPro 1.1 GHz 1.5 GHz 2.6 GHz 4.4 GHz 3.8 GHz 1.30 GHz
1135G7 0.9 GHz 1.4 GHz 2.4 GHz 4.2 GHz 3.8 GHz
Core i3 1125G4 2.0 GHz 3.7 GHz 3.3 GHz UHD 48 1.25 GHz DDR4-3200

LPDDR4X-3733

$281
1115G4 2 (4) 1.7 GHz 2.2 GHz 3.0 GHz 4.1 GHz 6 MB
Embedded mobile processors (UP3-class)
Processor

branding

Model Cores

(threads)

Base freq at TDP Max

Turbo freq

GPU Smart

cache

TDP Memory support Price
@12 W @15 W @28 W Series EUs Max freq Type ECC
Core i7 1185GRE vPro 4 (8) 1.2 GHz 1.8 GHz 2.8 GHz 4.4 GHz Iris Xe 96 1.35 GHz 12 MB 15 W DDR4-3200

LPDDR4X-4267

Yes $490
1185G7E vPro No $431
Core i5 1145GRE vPro 1.1 GHz 1.5 GHz 2.6 GHz 4.1 GHz 80 1.30 GHz 8 MB Yes $362
1145G7E vPro No $312
Core i3 1115GRE 2 (4) 1.7 GHz 2.2 GHz 3.0 GHz 3.9 GHz UHD 48 1.25 GHz 6 MB DDR4-3200

LPDDR4X-3733

Yes $338
1115G4E No $285
Mobile processors (UP4-class)
[edit]
Processor

branding

Model Cores

(threads)

Base freq at TDP Max Turbo freq GPU Smart

cache

TDP Memory

support

Price
@7 W @9 W @15 W 1 Core All Cores Series EUs Max freq
Core i7 1180G7 vPro 4 (8) 0.9 GHz 2.2 GHz 4.6 GHz Iris Xe 96 1.10 GHz 12 MB 7-15 W LPDDR4X-4267 $426
1160G7 1.2 GHz 2.1 GHz 4.4 GHz 3.6 GHz
Core i5 1140G7 vPro 0.8 GHz 1.8 GHz 4.2 GHz 80 8 MB $309
1130G7 1.1 GHz 4.0 GHz 3.4 GHz
Core i3 1120G4 1.5 GHz 3.5 GHz 3.0 GHz UHD 48 $281
1110G4 2 (4) 1.5 GHz 1.8 GHz 2.5 GHz 3.9 GHz 6 MB
Desktop/tablet processors (Tiger Lake-B)
[edit]
  • Socket: FCBGA1787, a BGA socket, thus these CPUs are meant only for system integrators
  • Intel Xe UHD Graphics
  • Up to 128 GB DDR4-3200 memory
  • Was initially incorrectly listed as having a 5.3 GHz TVB boost frequency.[101]
Processor

branding

Model Cores

(threads)

Base / Boost Clocks (GHz) L3 cache

(MB)

TDP GPU

EU

GPU

Max freq

Price
Core i9 11900 KB 8 (16) 3.3 / 4.9 24 65 W 32 1.45 GHz $539
Core i7 11700B 3.2 / 4.8
Core i5 11500B 6 (12) 3.3 / 4.6 12
Core i3 11100B 4 (8) 3.6 / 4.4 16 1.4 GHz
Rocket Lake microarchitecture
[edit]

Rocket Lake is a codename for Intel's desktop x86 chip family based on the new Cypress Cove microarchitecture, a variant of Sunny Cove (used by Intel's Ice Lake mobile processors) backported to the older 14 nm process.[102] The chips are marketed as "Intel 11th generation Core". Launched March 30, 2021.

Desktop processors
[edit]
  • All CPUs listed below support DDR4-3200 natively. The Core i9 K/KF processors enable a 1:1 ratio of DRAM to memory controller by default at DDR4-3200, whereas the Core i9 non K/KF and all other CPUs listed below enable a 2:1 ratio of DRAM to memory controller by default at DDR4-3200 and a 1:1 ratio by default at DDR4-2933.[103]
  • All CPUs support up to 128 GiB of RAM in dual channel mode
  • Core i9 CPUs (except 11900T) support Intel Thermal Velocity Boost technology
Processor
branding
Model Cores

(Threads)

Base

clock rate

All-Core

Turbo

Turbo

Boost 2.0

Turbo Boost

Max 3.0

GPU max GPU
clock rate
Smart
cache
TDP Price
(USD)
Core i9 11900K 8 (16) 3.5 GHz 4.8 GHz 5.1 GHz 5.2 GHz UHD 750 1.3 GHz 16 MiB 125 W $539
11900KF - $513
11900 2.5 GHz 4.7 GHz 5.0 GHz 5.1 GHz UHD 750 1.3 GHz 65 W $439
11900F - $422
11900T 1.5 GHz 3.7 GHz 4.8 GHz 4.9 GHz UHD 750 1.3 GHz 35 W $439
Core i7 11700K 3.6 GHz 4.6 GHz 4.9 GHz 5.0 GHz 125W $399
11700KF - $374
11700 2.5 GHz 4.4 GHz 4.8 GHz 4.9 GHz UHD 750 1.3 GHz 65W $323
11700F - $298
11700T 1.4 GHz 3.6 GHz 4.5 GHz 4.6 GHz UHD 750 1.3 GHz 35 W $323
Core i5 11600K 6 (12) 3.9 GHz 4.6 GHz 4.9 GHz N/A 12 MiB 125 W $262
11600KF - $237
11600 2.8 GHz 4.3 GHz 4.8 GHz UHD 750 1.3 GHz 65 W $213
11600T 1.7 GHz 3.5 GHz 4.1 GHz 35 W
11500 2.7 GHz 4.2 GHz 4.6 GHz 65 W $192
11500T 1.5 GHz 3.4 GHz 3.9 GHz 1.2 GHz 35 W
11400 2.6 GHz 4.2 GHz 4.4 GHz UHD 730 1.3 GHz 65 W $182
11400F - $157
11400T 1.3 GHz 3.3 GHz 3.7 GHz UHD 730 1.2 GHz 35 W $182

12th generation

[edit]
Alder Lake
[edit]

Alder Lake is Intel's codename for the 12th generation of Intel Core processors based on a hybrid architecture utilizing Golden Cove high-performance cores and Gracemont power-efficient cores.[104]
It is fabricated using Intel's Intel 7 process, previously referred to as Intel 10 nm Enhanced SuperFin (10ESF).
Intel officially announced 12th Gen Intel Core CPUs on October 27, 2021, and was launched to the market on November 4, 2021.[105]

Desktop processors (Alder Lake-S)
[edit]
  • All the CPUs support up to 128 GB of DDR4-3200 or DDR5-4800 RAM in dual channel mode.[106]
  • Some models feature integrated UHD Graphics 770, UHD Graphics 730 or UHD Graphics 710 GPU with 32/24/16 EUs and base frequency of 300 MHz.
  • By default Alder Lake CPUs are configured to run at Turbo Power at all times and Base Power is only guaranteed when P-Cores/E-cores do not exceed the base clock rate.[107]
  • Max Turbo Power: the maximum sustained (> 1 s) power dissipation of the processor as limited by current and/or temperature controls. Instantaneous power may exceed Maximum Turbo Power for short durations (≤ 10 ms). Maximum Turbo Power is configurable by system vendor and can be system specific.
  • CPUs in bold below feature ECC memory support only when paired with a motherboard based on the W680 chipset.[108]

*By default, Core i9 12900KS achieves 5.5 GHz only when using Thermal Velocity Boost[109]

Processor
branding
Model Cores
(threads)
Base
clock rate
Turbo
Boost
2.0
Turbo
Max 3.0
GPU Smart
cache
Power Price
(USD)
P E P E P E P Model Max.
clock rate
Base Turbo
Core i9 12900KS 8 (16) 8 (8) 3.4 GHz 2.5 GHz 5.2 GHz 4.0 GHz 5.3 GHz UHD 770 1.55 GHz 30 MB 150 W 241 W $739
12900K 3.2 GHz 2.4 GHz 5.1 GHz 3.9 GHz 5.2 GHz 125 W $589
12900KF $564
12900 2.4 GHz 1.8 GHz 5.0 GHz 3.8 GHz 5.1 GHz UHD 770 1.55 GHz 65 W 202 W $489
12900F $464
12900T 1.4 GHz 1.0 GHz 4.8 GHz 3.6 GHz 4.9 GHz UHD 770 1.55 GHz 35 W 106 W $489
Core i7 12700K 4 (4) 3.6 GHz 2.7 GHz 4.9 GHz 3.8 GHz 5.0 GHz 1.50 GHz 25 MB 125 W 190 W $409
12700KF $384
12700 2.1 GHz 1.6 GHz 4.8 GHz 3.6 GHz 4.9 GHz UHD 770 1.50 GHz 65 W 180 W $339
12700F $314
12700T 1.4 GHz 1.0 GHz 4.6 GHz 3.4 GHz 4.7 GHz UHD 770 1.50 GHz 35 W 99 W $339
Core i5 12600K 6 (12) 3.7 GHz 2.8 GHz 4.9 GHz 3.6 GHz 1.45 GHz 20 MB 125 W 150 W $289
12600KF $264
12600 3.3 GHz 4.8 GHz UHD 770 1.45 GHz 18 MB 65 W 117 W $223
12600T 2.1 GHz 4.6 GHz 35 W 74 W
12500 3.0 GHz 65 W 117 W $202
12500T 2.0 GHz 4.4 GHz 35 W 74 W
12490F[110] 3.0 GHz 4.6 GHz 20 MB 65 W 117 W China
exclusive
12400 2.5 GHz 4.4 GHz UHD 730 1.45 GHz 18 MB $192
12400F $167
12400T 1.8 GHz 4.2 GHz UHD 730 1.45 GHz 35 W 74 W $192
Core i3 12300 4 (8) 3.5 GHz 4.4 GHz 12 MB 60 W 89 W $143
12300T 2.3 GHz 4.2 GHz 35 W 69 W
12100 3.3 GHz 4.3 GHz 1.40 GHz 60 W 89 W $122
12100F 58 W $97
12100T 2.2 GHz 4.1 GHz UHD 730 1.40 GHz 35 W 69 W $122
Extreme-performance Mobile Processors (Alder Lake-HX)
[edit]
  • Bold indicates ECC memory support
Processor
branding
Model Cores
(threads)
Base
clock rate
Turbo
Boost
2.0
UHD Graphics Smart
cache
Power Price
(USD)
P E P E P E EUs Max. freq. Base Turbo
Core i9 12950HX 8 (16) 8 (8) 2.3 GHz 1.7 GHz 5.0 GHz 3.6 GHz 32 1.55 GHz 30 MB 55 W 157 W $590
12900HX $606
Core i7 12850HX 2.1 GHz 1.5 GHz 4.8 GHz 3.4 GHz 1.45 GHz 25 MB $428
12800HX 2.0 GHz $457
12650HX 6 (12) 4.7 GHz 3.3 GHz 24 MB
Core i5 12600HX 4 (8) 2.5 GHz 1.8 GHz 4.6 GHz 1.35 GHz 18 MB $284
12450HX 4 (4) 2.4 GHz 4.4 GHz 3.1 GHz 16 1.30 GHz 12 MB
High-performance Mobile Processors (Alder Lake-H)
[edit]
Processor
branding
Model

Cores
(threads)

Base
clock rate
Turbo
Boost
2.0
Iris Xe Graphics Smart
cache
Base

Power

Turbo
power
Price
(USD)
P-cores E-cores P-cores E-cores P-cores E-cores EUs Max freq
Core i9 12900HK 6 (12) 8 (8) 2.5 GHz 1.8 GHz 5.0 GHz 3.8 GHz 96 1.45 GHz 24 MB 45 W 115 W $635
12900H $617
Core i7 12800H 2.4 GHz 4.8 GHz 3.7 GHz 1.4 GHz $457
12700H 2.3 GHz 1.7 GHz 4.7 GHz 3.5 GHz
12650H 4 (4) 64
Core i5 12600H 4 (8) 8 (8) 2.7 GHz 2.0 GHz 4.5 GHz 3.3 GHz 80 18 MB 95 W $311
12500H 2.5 GHz 1.8 GHz 1.3 GHz
12450H 4 (4) 2.0 GHz 1.5 GHz 4.4 GHz 48 1.2 GHz 12 MB
Low Power Performance Mobile Processors (Alder Lake-P)
[edit]
Processor
branding
Model

Cores
(threads)

Base
clock rate
Turbo
Boost
2.0
Iris Xe Graphics Smart
cache
Base

Power

Turbo
power
Price
(USD)
P-cores E-cores P-cores E-cores P-cores E-cores EUs Max freq
Core i7 1280P 6 (12) 8 (8) 1.8 GHz 1.3 GHz 4.8 GHz 3.6 GHz 96 1.45 GHz 24 MB 28 W 64 W $482
1270P 4 (8) 2.2 GHz 1.6 GHz 3.5 GHz 1.40 GHz 18 MB $438
1260P 2.1 GHz 1.5 GHz 4.7 GHz 3.4 GHz
Core i5 1250P 1.7 GHz 1.2 GHz 4.4 GHz 3.3 GHz 80 12 MB $320
1240P 1.30 GHz
Core i3 1220P 2 (4) 1.5 GHz 1.1 GHz 64 1.10 GHz $281
Ultra Low Power Mobile Processors (Alder Lake-U)
[edit]
Processor
branding
Model

Cores
(threads)

Base
clock rate
Turbo
Boost
2.0
Iris Xe Graphics Smart
cache
Base

power

Turbo
power
Price
(USD)
P-cores E-cores P-cores E-cores P-cores E-cores EUs Max freq
Core i7 1265U 2 (4) 8 (8) 1.8 GHz 1.3 GHz 4.8 GHz 3.6 GHz 96 1.25 GHz 12 MB 15 W 55 W $426
1260U 1.1 GHz 0.8 GHz 4.7 GHz 3.5 GHz 0.9 GHz 9 W 29 W
1255U 1.7 GHz 1.2 GHz 1.25 GHz 15 W 55 W $426
1250U 1.1 GHz 0.8 GHz 0.9 GHz 9 W 29 W
Core i5 1245U 1.6 GHz 1.2 GHz 4.4 GHz 3.3 GHz 80 1.2 GHz 15 W 55 W $309
1240U 1.1 GHz 0.8 GHz 0.9 GHz 9 W 29 W
1235U 1.3 GHz 0.9 GHz 1.2 GHz 15 W 55 W $309
1230U 1.0 GHz 0.7 GHz 0.9 GHz 9 W 29 W
Core i3 1215U 4 (4) 1.2 GHz 1.2 GHz 64 1.1 GHz 10 MB 15 W 55 W $281
1210U 1.0 GHz 0.7 GHz 0.85 GHz 9 W 29 W

13th generation

[edit]
Raptor Lake
[edit]

Raptor Lake is Intel's codename for the 13th generation of Intel Core processors and the second generation based on a hybrid architecture.[111]
It is fabricated using an improved version of Intel's Intel 7 process.[112] Intel launched Raptor Lake on October 22, 2022.

Desktop Processors (Raptor Lake-S)
[edit]
  • All CPUs support up to DDR5 4800 and 192 GiB of RAM
    • 13600 and better support DDR5 5600
    • 13500 and lower support DDR5 4800
  • Intel 600 and 700 chipset support with LGA 1700
    • Intel 600 Series chipsets require BIOS update to achieve support for Raptor Lake-S
  • First 6 GHz processor (13900KS)*

*By default, Core i9 13900KS achieves 6.0 GHz only when using Thermal Velocity Boost with sufficient power and cooling.

Processor

branding

Model Cores

(Threads)

Base

clock rate

Turbo

Boost 2.0

Turbo

Boost 3.0

Iris Xe Graphics Smart

cache

Power Price

(USD)

P-core E-core P-core E-core P-core E-core P-core EUs Max freq Base Turbo
Core i9 13900KS 8 (16) 16 (16) 3.2 GHz 2.4 GHz 5.4 GHz 4.3 GHz 5.8 GHz 32 1.65 GHz 36 MB 150 W 253 W $689
13900K 3.0 GHz 2.2 GHz 5.7 GHz 125 W $589
13900KF $564
13900 2.0 GHz 1.5 GHz 5.2 GHz 4.2 GHz 5.5 GHz 32 1.65 GHz 65 W 219 W $549
13900F $524
13900T 1.1 GHz 0.8 GHz 5.1 GHz 3.9 GHz 5.3 GHz 32 1.65 GHz 35 W 106 W $549
Core i7 13700K 8 (8) 3.4 GHz 2.5 GHz 5.3 GHz 4.2 GHz 5.4 GHz 1.60 GHz 30 MB 125 W 253 W $409
13700KF $384
13700 2.1 GHz 1.5 GHz 5.1 GHz 4.1 GHz 5.2 GHz 32 1.60 GHz 65 W 219 W
13700F $359
13700T 1.4 GHz 1.0 GHz 4.8 GHz 3.6 GHz 4.9 GHz 32 1.60 GHz 35 W 106 W $384
Core i5 13600K 6 (12) 3.5 GHz 2.6 GHz 5.1 GHz 3.9 GHz 1.50 GHz 24 MB 125 W 181 W $319
13600KF $294
13600 2.7 GHz 2.0 GHz 5.0 GHz 3.7 GHz 32 1.55 GHz 65 W 154 W $255
13600T 1.8 GHz 1.3 GHz 4.8 GHz 3.4 GHz 35 W 92 W
13500 2.5 GHz 1.8 GHz 3.5 GHz 65 W 154 W $232
13500T 1.6 GHz 1.2 GHz 4.6 GHz 3.2 GHz 35 W 92 W
13400 4 (4) 2.5 GHz 1.8 GHz 3.3 GHz 24 20 MB 65 W 148 W $221
13400F $196
13400T 1.3 GHz 1.0 GHz 4.4 GHz 3.0 GHz 24 1.55 GHz 35 W 82 W $221
Core i3 13100 4 (8) 3.4 GHz 4.5 GHz 1.50 GHz 12 MB 60 W 89 W $134
13100F 58 W $109
13100T 2.5 GHz 4.2 GHz 24 1.50 GHz 35 W 69 W $134

14th generation

[edit]
Raptor Lake Refresh
[edit]

Raptor Lake Refresh is Intel's codename for the 14th generation of Intel Core processors. It is a refresh and based on the same architecture of the 13th generation with clock speeds of up to 6.2 GHz on the Core i9 14900KS, 6 GHz on the Core i9 14900K and 14900KF, 5.6 GHz on the Core i7 14700K and 14700KF, and 5.3 GHz on the Core i5 14600K and 13400KF as well as UHD Graphics 770 on non-F processors. They are still based on the Intel 7 process node.[113] Introduced on October 17, 2023, these CPUs are designed for the LGA 1700 socket, which allows for compatibility with 600 and 700 series motherboards.[114] It is the last generation CPUs to use the Intel Core i3, i5, i7 and i9 naming scheme as Intel announced that they will be dropping the "i" prefix for future Intel Core processors in 2023.[1]

The 14th generation CPU does not feature any major architectural changes over Raptor Lake, but does feature some minor improvements.[115] The 14th generation CPU was widely criticized[original research?] as a last-ditch effort to beat AMD's Zen 4 with 3D V-Cache[116][117] Intel's desktop version of the next generation architecture, Meteor Lake, was cancelled and the Arrow Lake architecture was not yet ready for release.[118]

In addition to the Raptor Lake-S Refresh desktop processors, Intel also launched 14th gen Raptor Lake-HX Refresh mobile processors in January 2024.[119]

CPUs in bold below feature ECC memory support only when paired with a motherboard based on the W680 chipset according to each respective Intel Ark product page.

Branding Model Cores
(threads)
Clock rate (GHz) GPU Smart
cache
TDP Released Price
(USD)[a]
Base Turbo Boost Model Max. freq.
(GHz)
2.0 3.0 TVB
P E P E P E P P Base Turbo
Core i9 14900KS 8 (16) 16 (16) 3.2 2.4 5.6 4.5 5.9 6.2 UHD 770 1.65 36 MB 150 W 253 W Mar 14, 2024 $689
14900K 4.4 5.8 6.0 125 W Oct 17, 2023 $589
14900KF $564
14900 2.0 1.5 5.4 4.3 5.6 5.8 UHD 770 1.65 65 W 219 W Jan 8, 2024 $549
14900F $524
14900T 1.1 0.8 5.1 4.0 5.5 UHD 770 1.65 35 W 106 W $549
Core i7 14790F 8 (8) 2.1 1.5 5.3 4.2 5.4 65 W 219 W Jan 15, 2024 China
exclusive
14700K 12 (12) 3.4 2.5 5.5 4.3 5.6 UHD 770 1.6 33 MB 125 W 253 W Oct 17, 2023 $409
14700KF $384
14700 2.1 1.5 5.3 4.2 5.4 UHD 770 1.6 65 W 219 W Jan 8, 2024
14700F $359
14700T 1.3 0.9 5.0 3.7 5.2 UHD 770 1.6 35 W 106 W $384
Core i5 14600K 6 (12) 8 (8) 3.5 2.6 5.3 4.0 1.55 24 MB 125 W 181 W Oct 17, 2023 $319
14600KF $294
14600 2.7 2.0 5.2 3.9 UHD 770 1.55 65 W 154 W Jan 8, 2024 $255
14600T 1.8 1.3 5.1 3.6 35 W 92 W
14500 2.6 1.9 5.0 3.7 65 W 154 W $232
14500T 1.7 1.2 4.8 3.4 35 W 92 W
14490F 4 (4) 2.8 2.1 4.9 3.7 65 W 148 W Jan 15, 2024 China
exclusive
14400 2.5 1.8 4.7 3.5 UHD 730 1.55 20 MB Jan 8, 2024 $221
14400F $196
14400T 1.5 1.1 4.5 3.2 UHD 730 1.55 35 W 82 W $221
Core i3 14100 4 (8) 3.5 4.7 1.5 12 MB 60 W 110 W $134
14100F 58 W $109
14100T 2.7 4.4 UHD 730 1.5 35 W 69 W $134
Intel
Processor
300 2 (4) 3.9 UHD 710 1.45 6 MB 46 W $82
300T 3.4 35 W

Core and Core Ultra 3/5/7/9

[edit]

Starting with the Meteor Lake mobile series launched in December 2023 (with the exception of Raptor Lake-HX Refresh),[120] Intel introduced a new naming system for its new and upcoming processors. The numbers 3, 5, 7 and 9 which denote tiers are still used, but the letter 'i' is dropped, and there is a new "Core Ultra" sub-brand. Like AMD with their Ryzen 7000 mobile series and later processors, Intel now refreshes older architectures to be sold as more affordable mainstream processors while the latest architectures are released as "premium" products, under the Core Ultra brand.[121]

This new naming system also cuts the number of model number digits down from 4-5 to 3-4, e.g. Core 1xx series instead of Core 8xxx or 14xxx series.

Intel no longer refers to iterations of product series under "nth generation" anymore, instead using "Series n". Otherwise the latest series launched in December 2023 would be called 15th generation.[122]

Compared to the Core processors, the Core Ultra processors introduced more advanced AI technologies such as NPU.

Series 1

[edit]

The Series 1 of Core processors consists of the Raptor Lake-U Refresh mobile series released January 2024 under the Core brand,[121] and the Meteor Lake-U/H mobile series released December 2023 under the Core Ultra brand.[120]

Overview of mobile Core Series 1 models
Model line Codename Architecture P-core count E-core count Integrated graphics
Core Ultra 5/7/9 1xxH Meteor Lake-H Redwood Cove (P-cores)
Crestmont (E- and LP E-cores)
4–6 8 Arc (Alchemist), up to 8 Xe-cores
Core Ultra 5/7 1xxU Meteor Lake-U 2 4–8 Intel Graphics (Alchemist), up to 4 Xe-cores
Core 3/5/7 1xxU Raptor Lake-U Refresh Raptor Cove (P-cores)
Gracemont (E-cores)
Intel Graphics (Xe-LP), up to 96 EU
Meteor Lake
[edit]

Meteor Lake is Intel's codename for the first generation of Intel Core Ultra mobile processors,[123] and was officially launched on December 14, 2023.[124] It is the first generation of Intel mobile processors to use a chiplet architecture which means that the processor is a multi-chip module.[123] Tim Wilson led the system on a chip development for this generation microprocessor.[125]

Process technology
[edit]

Due to its Multi-Chip Module (MCM) construction, Meteor Lake can take advantage of different process nodes that are best suited to the use case. Meteor Lake is built using four different fabrication nodes, including both Intel's own nodes and external nodes outsourced to fabrication competitor TSMC. The "Intel 4" process used for the CPU tile is the first process node in which Intel is utilising extreme ultraviolet (EUV) lithography, which is necessary for creating nodes 7nm and smaller. The interposer base tile is fabricated on Intel's 22FFL, or "Intel 16", process.[126][127] The 22FFL Fin Field-Effect Transistor (FinFET) Low-power node, first announced in March 2017, was designed for inexpensive low power operation.[128] The interposer base tile is designed to connect tiles together and allow for die-to-die communication which does not require the most advanced, expensive nodes so an older, inexpensive node can be used instead.

Tile Node EUV Die size Ref.
Compute tile Intel 4 (7nm EUV) Yes 69.67 mm2 [129]
[130]
[131]
Graphics tile TSMC N5 Yes 44.25 mm2
SoC tile TSMC N6 Yes 100.15 mm2
I/O extender tile Yes 27.42 mm2
Foveros interposer base tile Intel 16 (22FFL) No 265.65 mm2
Mobile processors
[edit]

Meteor Lake-H

155H, 165H, and 185H support P-core Turbo Boost 3.0 running at the same frequency as Turbo Boost 2.0.

Processor
branding
Model Cores (threads) Base clock rate
(GHz)
Turbo Boost
(GHz)
Arc graphics Smart
cache
TDP Release date Price
(USD)[a]
P E LP-E P E LP-E P E LP-E Xe-cores
(XVEs)
Max. freq.
(GHz)
Base cTDP Turbo
Core Ultra 9 185H 6 (12) 8 (8) 2 (2) 2.3 1.8 1.0 5.1 3.8 2.5 8 (128) 2.35 24 MB 45 W 35–65 W 115 W Q4'23 $640
Core Ultra 7 165H 1.4 0.9 0.7 5.0 2.3 28 W 20–65 W Q4'23 $460
155H 4.8 2.25 Q4'23 $503
Core Ultra 5 135H 4 (8) 1.7 1.2 4.6 3.6 2.2 18 MB Q4'23 $342
125H 1.2 0.7 4.5 7 (112) Q4'23 $375
  1. ^ a b Price is Recommended Customer Price (RCP) at launch. RCP is the trade price that processors are sold by Intel to retailers and OEMs. Actual MSRP for consumers is higher

Meteor Lake-U

The integrated GPU is branded as "Intel Graphics" but still use the same GPU microarchitecture as "Intel Arc Graphics" on the H series models.

All models support DDR5 memory except 134U and 164U.

Processor
branding
Model Cores (threads) Base clock rate
(GHz)
Turbo Boost
(GHz)
Intel Graphics Smart
cache
TDP Release date Price
(USD)[a]
P E LP-E P E LP-E P E LP-E Xe-cores
(XVEs)
Max. freq.
(GHz)
Base cTDP Turbo
Low power (MTL-U15)
Core Ultra 7 165U 2 (4) 8 (8) 2 (2) 1.7 1.2 0.7 4.9 3.8 2.1 4 (64) 2.0 12 MB 15 W 12–28 W 57 W Q4'23 $448
155U 4.8 1.95 Q4'23 $490
Core Ultra 5 135U 1.6 1.1 4.4 3.6 1.9 Q4'23 $332
125U 1.3 0.8 4.3 1.85 Q4'23 $363
115U 4 (4) 1.5 1.0 4.2 3.5 3 (48) 1.8 10 MB Q4'23 unspecified
Ultra low power (MTL-U9)
Core Ultra 7 164U 2 (4) 8 (8) 2 (2) 1.1 0.7 0.4 4.8 3.8 2.1 4 (64) 1.8 12 MB 9 W 9–15 W 30 W Q4'23 $448
Core Ultra 5 134U 0.7 0.5 4.4 3.6 1.75 Q4'23 $332
  1. ^ Price is Recommended Customer Price (RCP) at launch. RCP is the trade price that processors are sold by Intel to retailers and OEMs. Actual MSRP for consumers is higher
Processors for Internet of Things (IoT) devices and embedded systems (Meteor Lake-PS)
[edit]

High-power

155HL and 165HL support P-core Turbo Boost 3.0 running at the same frequency as Turbo Boost 2.0.

Processor
branding
Model Cores (threads) Base clock rate
(GHz)
Turbo Boost
(GHz)
Arc graphics Smart
cache
TDP Release date Price
(USD)[a]
P E LP-E P E LP-E P E LP-E Xe-cores
(XVEs)
Max. freq.
(GHz)
Base cTDP Turbo
Core Ultra 7 165HL 6 (12) 8 (8) 2 (2) 1.4 0.9 0.7 5.0 3.8 2.5 8 (128) 2.3 24 MB 45 W 20–65 W 115 W Q2'24 $459
155HL 4.8 2.25 Q2'24 $438
Core Ultra 5 135HL 4 (8) 1.7 1.2 4.6 3.6 2.2 18 MB Q2'24 $341
125HL 1.2 0.7 4.5 7 (112) Q2'24 $325
  1. ^ Price is Recommended Customer Price (RCP) at launch. RCP is the trade price that processors are sold by Intel to retailers and OEMs. Actual MSRP for consumers is higher

Low-power

The integrated GPU is branded as "Intel Graphics" but still use the same GPU microarchitecture as "Intel Arc Graphics" on the high-power models.

Processor
branding
Model Cores (threads) Base clock rate
(GHz)
Turbo Boost
(GHz)
Intel Graphics Smart
cache
TDP Release date Price
(USD)[a]
P E LP-E P E LP-E P E LP-E Xe-cores
(XVEs)
Max. freq.
(GHz)
Base cTDP Turbo
Core Ultra 7 165UL 2 (4) 8 (8) 2 (2) 1.7 1.2 0.7 4.9 3.8 2.1 4 (64) 2.0 12 MB 15 W 12–28 W 57 W Q2'24 $447
155UL 4.8 1.95 Q2'24 $426
Core Ultra 5 135UL 1.6 1.1 4.4 3.6 1.9 Q2'24 $331
125UL 1.3 0.8 4.3 1.85 Q2'24 $309
Core Ultra 3 105UL 4 (4) 1.5 1.0 4.2 3.5 3 (48) 1.8 10 MB Q2'24 $295
  1. ^ Price is Recommended Customer Price (RCP) at launch. RCP is the trade price that processors are sold by Intel to retailers and OEMs. Actual MSRP for consumers is higher

Series 2

[edit]
Lunar Lake
[edit]
Mobile processors
[edit]
Branding SKU Cores
(threads)
Clock rate (GHz) Arc Graphics NPU
(TOPS)
Smart
cache
[i]
RAM TDP Release date Price(USD)
Base Turbo
P LP-E P LP-E Xe cores
(XVEs)
Max. freq.
(GHz)
Base Turbo cTDP
Core Ultra 9 288V 4 (4) 4 (4) 3.3 5.1 3.7 8 (64) 2.05 48 12 MB 32 GB 30 W 37 W 17-37 W Sep 24, 2024 $686
Core Ultra 7 268V 2.2 5.0 2.0 32 GB 17 W 8-37 W $571
266V 16 GB $520
258V 4.8 1.95 47 32 GB $613
256V 16 GB $563
Core Ultra 5 238V 2.1 4.7 3.5 7 (56) 1.85 40 8 MB 32 GB $454
236V 16 GB $403
228V 4.5 32 GB $485
226V 16 GB $435
  1. ^ Only the P-cores can access this L3 cache[132]
Arrow Lake
[edit]

Arrow Lake is Intel’s codename for the second generation Core Ultra processors. Announced on October 10, 2024, Arrow Lake is the first series of desktop Intel processors not to feature a monolithic design, instead adopting the chiplet design used on Meteor Lake.[133] Intel primarily markets this product as being on-par with Raptor Lake in performance whilst being much more power efficient.[134] The processors use the LGA 1851 socket with the 800 series chipset. It also represented a shift in branding, from the Intel Core 'i' series branding to the new 'Intel Core Ultra' branding for Intel's desktop processors.

Arrow Lake features multiple new architectural innovations over the previous generation Raptor Lake desktop processors, such as utilizing a chiplet-based 'tile' design, with the flagship 285K processor having six tiles, including a compute tile, SoC tile, graphics tile, I/O tile, and a filler tile.[135] All tiles are placed over an interposer base tile, packaged via Intel's Foveros Technology. Most of Arrow Lake is also built using TSMC's process nodes, except the base tile built using Intel's 22nm node.[136][137] Arrow Lake is also the first Intel desktop processor lineup to feature an NPU, with each processor containing an NPU capable of up to 13 trillion operations per second (TOPS).[138]

Arrow Lake released on October 24, 2024, to mixed reviews due to its lack of generational performance uplift or even performance regression in some cases.[139] Many reviewers also noticed that the processors had multiple bugs at launch, and inconsistent performance caused by certain BIOS configurations. Intel addressed this issue via a series of microcode and Windows updates released through December 2024 and January 2025, aiming to improve performance and to fix bugs with the platform, although some reviewers noticed no satisfactory gains, and sometimes even further regressions caused by the microcode update.[140][141]

In addition to the Core Ultra 200S Arrow Lake desktop processors, Intel also announced the Core Ultra 200H, Core Ultra 200HX, and Core Ultra 200U Arrow Lake processors for mobile at CES 2025.[142]

Desktop processors
[edit]

Arrow Lake-S

Branding Model Cores
(threads)
Clock rate (GHz) Arc Graphics NPU Smart
cache
TDP Released Price
(USD)[a]
Base Turbo Boost Xe cores Max. freq.
(GHz)
2.0 3.0 TVB
P E P E P E P P Base Turbo
Core Ultra 9 285K 8 (8) 16 (16) 3.7 GHz 3.2 GHz 5.5 GHz 4.6 GHz 5.6 GHz 5.7 GHz 4 2.0 GHz 13 36 MB 125 W 250 W Oct 24, 2024 $589
285 2.5 GHz 1.9 GHz 5.4 GHz 5.5 GHz 5.6 GHz 65 W 182 W Jan 6, 2025 $549
285T 1.4 GHz 1.2 GHz 5.3 GHz 35 W 112 W
Core Ultra 7 265K 8 (8) 3.9 GHz 3.3 GHz 5.4 GHz 4.6 GHz 30 MB 125 W 250 W Oct 24, 2024 $394
265KF $379
265 2.4 GHz 1.8 GHz 5.2 GHz 4.6 GHz 5.3 GHz 4 1.95 GHz 65 W 182 W Jan 6, 2025 $384
265F $369
265T 1.5 GHz 1.2 GHz 4 1.95 GHz 35 W 112 W $384
Core Ultra 5 245K 6 (6) 4.2 GHz 3.6 GHz 5.2 GHz 4.6 GHz 1.90 GHz 24 MB 125 W 159 W Oct 24, 2024 $309
245KF $294
245 3.5 GHz 3.0 GHz 5.1 GHz 4.5 GHz 4 1.90 GHz 65 W 121 W Jan 6, 2025 $270
245T 2.5 GHz 1.9 GHz 35 W 114 W
235 3.4 GHz 2.9 GHz 5.0 GHz 4.4 GHz 3 2.0 GHz 65 W 121 W $247
235T 2.2 GHz 1.6 GHz 35 W 114 W
225 4 (4) 3.3 GHz 2.7 GHz 4.9 GHz 4.4 GHz 2 1.80 GHz 20 MB 65 W 121 W $236
225F $221
225T 2.5 GHz 1.9 GHz 2 1.80 GHz 35 W 114 W
  1. ^ Price is Recommended Customer Price (RCP) at launch. RCP is the trade price that processors are sold by Intel to retailers and OEMs. Actual MSRP for consumers is higher

Reception

[edit]

Speculative execution CPU vulnerabilities

[edit]
Transient execution CPU vulnerabilities are vulnerabilities in which instructions, most often optimized using speculative execution, are executed temporarily by a microprocessor, without committing their results due to a misprediction or error, resulting in leaking secret data to an unauthorized party. The archetype is Spectre, and transient execution attacks like Spectre belong to the cache-attack category, one of several categories of side-channel attacks. Since January 2018 many different cache-attack vulnerabilities have been identified.

See also

[edit]

Notes

[edit]

References

[edit]
  1. ^ a b Cao, Peter (June 15, 2023). "Intel drops 'i' processor branding after 15 years, introduces 'Ultra' for higher-end chips". Engadget. Retrieved June 17, 2023.
  2. ^ Bonshor, Gavin (June 15, 2023). "Intel To Launch New Core Processor Branding for Meteor Lake: Drop the i, Add Ultra Tier". AnandTech. Archived from the original on April 5, 2024. Retrieved April 5, 2024.
  3. ^ Robinson, Cliff (June 15, 2023). "Intel Overhauls Core Branding with Meteor Lake". ServeTheHome. Archived from the original on April 5, 2024. Retrieved April 5, 2024.
  4. ^ a b c Cutress, Ian. "The Ice Lake Benchmark Preview: Inside Intel's 10nm". www.anandtech.com. Retrieved October 23, 2020.
  5. ^ "Hiérarchie des caches - L'architecture Intel Nehalem - HardWare.fr". www.hardware.fr. Retrieved October 23, 2020.
  6. ^ Kanter, David (September 25, 2010). "Intel's Sandy Bridge Microarchitecture". Retrieved October 24, 2020.
  7. ^ "Willow Cove - Microarchitectures - Intel - WikiChip". en.wikichip.org. Retrieved October 23, 2020.
  8. ^ Cutress, Ian; Frumusanu, Andrei. "Intel's Tiger Lake 11th Gen Core i7-1185G7 Review and Deep Dive: Baskin' for the Exotic". www.anandtech.com. Retrieved November 8, 2020.
  9. ^ "Intel Core i7-5775C - CM8065802483301 / BX80658I75775C". www.cpu-world.com. Retrieved November 6, 2020.
  10. ^ "Noyau (suite) - L'architecture Intel Nehalem - HardWare.fr". www.hardware.fr. Retrieved October 23, 2020.
  11. ^ "File:broadwell buffer window.png - WikiChip". en.wikichip.org. Retrieved October 23, 2020.
  12. ^ "File:sunny cove buffer capacities.png - WikiChip". en.wikichip.org. Retrieved October 23, 2020.
  13. ^ a b c d e "Popping the Hood on Golden Cove". chipsandcheese.com. December 2, 2021. Retrieved April 12, 2023.
  14. ^ "Sunny Cove - Microarchitectures - Intel - WikiChip". en.wikichip.org. Retrieved November 4, 2020.
  15. ^ Kanter, David (September 25, 2010). "Intel's Sandy Bridge Microarchitecture". Retrieved November 9, 2020.
  16. ^ a b Shimpi, Anand Lal. "Intel's Haswell Architecture Analyzed: Building a New PC and a New Intel". www.anandtech.com. Retrieved November 9, 2020.
  17. ^ Cutress, Ian. "Examining Intel's Ice Lake Processors: Taking a Bite of the Sunny Cove Microarchitecture". www.anandtech.com. Retrieved November 9, 2020.
  18. ^ "Intel launches three Core M CPUs, promises more Broadwell "early 2015"". Ars Technica. September 5, 2014. Archived from the original on January 5, 2015.
  19. ^ "Intel already phasing out first quad-core CPU". TG Daily. Archived from the original on September 13, 2007. Retrieved September 7, 2007.
  20. ^ "Intel to discontinue older Centrino CPUs in Q1 08". TG Daily. Archived from the original on November 2, 2007. Retrieved October 1, 2007.
  21. ^ "Support for the Intel Core Solo processor". Intel. Archived from the original on April 19, 2010. Retrieved December 13, 2010.
  22. ^ "Support for the Intel Core Duo Processor". Intel. Archived from the original on April 17, 2010. Retrieved December 13, 2010.
  23. ^ "Intel Microarchitecture". Intel. Archived from the original on June 12, 2009. Retrieved December 13, 2010.
  24. ^ "Intel Core2 Solo Mobile Processor – Overview". Intel. Archived from the original on September 26, 2011. Retrieved December 13, 2010.
  25. ^ "Intel Core2 Duo Processor: Upgrade Today". Intel. Archived from the original on January 7, 2011. Retrieved December 13, 2010.
  26. ^ "Intel Core2 Duo Mobile Processor". Intel. Archived from the original on April 3, 2009. Retrieved December 13, 2010.
  27. ^ "Intel Core2 Quad Processor Overview". Intel. Archived from the original on March 6, 2011. Retrieved December 13, 2010.
  28. ^ "Intel Core2 Quad Mobile Processors – Overview". Intel. Archived from the original on May 6, 2015. Retrieved December 13, 2010.
  29. ^ "Support for the Intel Core2 Extreme Processor". Intel. Archived from the original on March 16, 2010. Retrieved December 13, 2010.
  30. ^ "Intel Core2 Extreme Processor". Intel. Archived from the original on February 21, 2011. Retrieved December 13, 2010.
  31. ^ "Intel Microarchitecture Codenamed Nehalem". Intel. Archived from the original on July 22, 2010. Retrieved December 13, 2010.
  32. ^ "Public Roadmap Desktop, Mobile & Data Center" (PDF). Intel. Archived from the original (PDF) on February 5, 2009. Retrieved December 13, 2010.
  33. ^ "Intel Processor Ratings". Intel. Archived from the original on April 15, 2011. Retrieved July 21, 2011.
  34. ^ "Processor Ratings". Intel. July 9, 2010. Archived from the original on January 1, 2011. Retrieved December 13, 2010.
  35. ^ "Intel Quietly Announces Core i5 and Core i3 Branding". AnandTech. Archived from the original on March 23, 2010. Retrieved December 13, 2010.
  36. ^ "Intel confirms Core i3 as 'entry-level' Nehalem chip". Apcmag.com. September 14, 2009. Archived from the original on September 7, 2011. Retrieved December 13, 2010.
  37. ^ "Core i5 and i3 CPUs With On-Chip GPUs Launched". Hardware.slashdot.org. January 4, 2010. Archived from the original on January 12, 2012. Retrieved December 13, 2010.
  38. ^ "Intel May Unveil Microprocessors with Integrated Graphics Cores at Consumer Electronics Show". Xbitlabs.com. Archived from the original on October 30, 2010. Retrieved December 13, 2010.
  39. ^ "Intel to launch four Arrandale CPUs for mainstream notebooks in January 2010". Digitimes.com. November 13, 2009. Archived from the original on December 7, 2010. Retrieved December 13, 2010.
  40. ^ "Intel Core i3 Desktop Processor — Frequently Asked Questions". Intel. Archived from the original on September 25, 2011.
  41. ^ "FAQ Entry – Online Support – Support – Super Micro Computer, Inc". www.Supermicro.com. Archived from the original on July 2, 2017. Retrieved January 5, 2018.
  42. ^ "SPCR • View topic – ECC Support (offshoot of Silent Server Build)". silentpcreview.com. Archived from the original on January 5, 2012. Retrieved September 26, 2011.
  43. ^ Asus P8B WS specification Archived September 25, 2011, at the Wayback Machine: supports "ECC, Non-ECC, un-buffered Memory", but "Non-ECC, un-buffered memory only support for client OS (Windows 7, Vista and XP)."
  44. ^ "Support for the Intel Core i5 Processor". Intel. Archived from the original on April 11, 2010. Retrieved December 13, 2010.
  45. ^ Anand Lal Shimpi, Intel's Core i7 870 & i5 750, Lynnfield: Harder, Better, Faster Stronger, anandtech.com, archived from the original on July 22, 2011
  46. ^ "Login to Digitimes archive & research". www.digitimes.com. November 13, 2009. Archived from the original on March 20, 2016. Retrieved May 7, 2018.
  47. ^ "Intel 奔腾双核 E5300(盒) 资讯-CPU 资讯-新奔腾同现身 多款Core i5、i3正式确认-IT168 diy硬件". it168.com. Archived from the original on October 9, 2011.
  48. ^ "Intel Core i5 Desktop Processor — Integration, Compatibility, and Memory FAQ". Intel. Archived from the original on February 11, 2012.
  49. ^ "Intel Core i5-430UM Mobile processor – CN80617006042AE". cpu-world.com. Archived from the original on August 12, 2011.
  50. ^ "Support for the Intel Core i7 Processor". Intel. Archived from the original on November 29, 2010. Retrieved December 13, 2010.
  51. ^ Modine, Austin (November 18, 2008). "Intel celebrates Core i7 launch with Dell and Gateway". The Register. Archived from the original on December 20, 2008. Retrieved December 6, 2008.
  52. ^ "IDF Fall 2008: Intel un-retires Craig Barrett, AMD sets up anti-IDF camp". Tigervision Media. August 11, 2008. Archived from the original on May 25, 2024. Retrieved August 11, 2008.
  53. ^ "Meet the Bloggers". Intel Corporation. Archived from the original on February 2, 2012. Retrieved August 11, 2008.
  54. ^ "Getting to the Core – Intel's new flagship client brand". Intel Corporation. Archived from the original on August 18, 2008. Retrieved August 11, 2008.
  55. ^ "[Intel Roadmap update] Nehalem to enter mainstream market". ExpReview. June 10, 2008. Archived from the original on December 11, 2011. Retrieved August 11, 2008.
  56. ^ "Intel Details Upcoming New Processor Generations" (Press release). Intel Corporate. August 11, 2008. Archived from the original on October 6, 2009.
  57. ^ "Intel Core i7-920 Processor (8M Cache, 2.66 GHz, 4.80 GT/s Intel QPI)". Intel. Archived from the original on December 8, 2008. Retrieved December 6, 2008.
  58. ^ "Intel Core i7-940 Processor (8M Cache, 2.93 GHz, 4.80 GT/s Intel QPI)". Intel. Archived from the original on December 6, 2008. Retrieved December 6, 2008.
  59. ^ "Intel Core i7-965 Processor Extreme Edition (8M Cache, 3.20 GHz, 6.40 GT/s Intel QPI)". Intel. Archived from the original on December 7, 2008. Retrieved December 6, 2008.
  60. ^ "Getting to the Core – Intel's new flagship client brand". Technology@Intel. Archived from the original on August 18, 2008.
  61. ^ "Intel Haswell-E Core i7-5960X, Core i7-5930K, Core i7-5820K Specifications Unveiled – Flagship 8 Core To Boost Up To 3.3 GHz". May 27, 2014. Archived from the original on June 13, 2015. Retrieved June 12, 2015.
  62. ^ "Intel Discloses Newest Microarchitecture and 14 Nanometer Manufacturing Process Technical Details". Intel. Intel Corporation. August 11, 2014. Archived from the original on August 26, 2014. Retrieved September 6, 2014.
  63. ^ "Intel launched U-series Broadwell processors". January 10, 2015. Archived from the original on February 15, 2015. Retrieved February 15, 2015.
  64. ^ "Intel's Broadwell goes broad with new desktop, mobile, server variants – The Tech Report – Page 1". techreport.com. June 2, 2015. Archived from the original on June 12, 2015. Retrieved June 11, 2015.
  65. ^ "Intel begins shipping Kaby Lake CPUs to manufacturers". The Tech Report. Archived from the original on January 26, 2017. Retrieved January 21, 2017.
  66. ^ "Intel pushes out the rest of its Kaby Lake processors for 2017's PCs". Ars Technica. Archived from the original on January 21, 2017. Retrieved January 21, 2017.
  67. ^ a b "Intel Kaby Lake details: The first post-"tick-tock" CPU architecture". Ars Technica UK. Archived from the original on January 6, 2017. Retrieved January 21, 2017.
  68. ^ "Intel Coffee Lake Core i7-8700K review: The best gaming CPU you can buy". Ars Technica. Archived from the original on October 5, 2017. Retrieved October 5, 2017.
  69. ^ "Intel Core i7-8700K Review: The New Gaming King". TechSpot. Archived from the original on October 5, 2017. Retrieved October 5, 2017.
  70. ^ "Intel 300-series chipsets to provide USB 3.1 Gen2 and Gigabit Wi-Fi | KitGuru". www.kitguru.net. Archived from the original on May 6, 2017. Retrieved April 29, 2017.
  71. ^ Cutress, Ian. "The AnandTech Coffee Lake Review: Initial Numbers on the Core i7-8700K and Core i5-8400". p. 3. Archived from the original on October 5, 2017. Retrieved October 6, 2017.
  72. ^ Cutress, Ian (June 11, 2018). "The Intel Core i7-8086K Review".
  73. ^ "New 8th Gen Intel Core Processors Optimize Connectivity, Great Performance, Battery Life for Laptops | Intel Newsroom". Intel Newsroom. Retrieved August 28, 2018.
  74. ^ a b Cutress, Ian. "Intel Launches Whiskey Lake-U and Amber Lake-Y: New MacBook CPUs?". Retrieved August 28, 2018.
  75. ^ "Intel launches Whiskey Lake-U and Amber Lake-Y CPUs with focus on enhanced mobile connectivity". Notebookcheck. Retrieved August 28, 2018.
  76. ^ "Intel launches Whiskey and Amber Lakes: Kaby Lake with better Wi-Fi, USB". Ars Technica. Retrieved August 28, 2018.
  77. ^ "Intel Launches Whiskey Lake And Amber Lake CPUs for Laptops". Tom's Hardware. August 28, 2018. Retrieved August 28, 2018.
  78. ^ "Ashraf Eassa on Twitter". Twitter. Retrieved August 29, 2018.
  79. ^ "Ian Cutress on Twitter". Twitter. Retrieved August 29, 2018.
  80. ^ Cutress, Ian (August 30, 2018). "Spectre and Meltdown in Hardware: Intel Clarifies Whiskey Lake and Amber Lake". anadtech.com. Retrieved September 4, 2019.
  81. ^ Alcorn, Paul (August 30, 2018). "Intel's Whiskey Lake Brings In-Silicon Meltdown and Foreshadow Fixes". Tom's Hardware.
  82. ^ "Intel's Cannonlake 10nm Microarchitecture is Due For 2016 - Compatible On Union Bay With Union Point PCH". WCCFTech. June 6, 2014. Archived from the original on October 6, 2014. Retrieved September 24, 2014.
  83. ^ "Intel Advanced Vector Extensions 512 (Intel AVX-512) Overview". Intel. Archived from the original on March 2, 2018. Retrieved March 2, 2018.
  84. ^ "What Is Intel AVX-512 and Why Does It Matter? | Prowess Consulting". www.prowesscorp.com. January 10, 2018. Archived from the original on March 2, 2018. Retrieved March 2, 2018.
  85. ^ Cutress, Ian. "Intel Mentions 10nm, Briefly". Archived from the original on January 10, 2018. Retrieved January 10, 2018.
  86. ^ "Intel Announces 10nm Cannon Lake Is Shipping". Tom's Hardware. January 9, 2018. Retrieved January 10, 2018.
  87. ^ AnandTech (January 9, 2018), Intel at CES 2018: 10nm [@8:35], archived from the original on April 27, 2018, retrieved January 10, 2018
  88. ^ "Intel Core i3-8121U SoC – Benchmarks and Specs". Notebookcheck. Retrieved May 14, 2018.
  89. ^ Kampman, Jeff (May 15, 2018). "Cannon Lake Core i3-8121U appears in Intel's ARK database". Tech Report. Retrieved May 15, 2018.
  90. ^ "Intel Announces 9th Generation Core CPUs, Eight-Core Core i9-9900K". Tom's Hardware. October 8, 2018. Retrieved October 9, 2018.
  91. ^ "Intel announces its latest 9th Gen chips, including its 'best gaming processor' Core i9". The Verge. Retrieved October 9, 2018.
  92. ^ Cutress, Ian. "Intel to Support 128GB of DDR4 on Core 9th Gen Desktop Processors". Retrieved October 15, 2018.
  93. ^ Cutress, Ian. "Intel's Graphics-Free Chips Are Also Savings-Free: Same Price, Fewer Features". Retrieved January 16, 2019.
  94. ^ Cuttress, Ian (October 8, 2018). "Intel Announced 9th Gen Core CPUs: Core i9-9900K (8-Core), i7-9700K, & i5-9600K". AnandTech. Retrieved October 8, 2018.
  95. ^ "Intel Core i9 9900K processor review". Guru3D.com. Archived from the original on October 20, 2018. Retrieved October 19, 2018.
  96. ^ Cutress, Ian. "The Intel 9th Gen Review: Core i9-9900K, Core i7-9700K and Core i5-9600K Tested". Retrieved October 19, 2018.
  97. ^ "Intel Core i9-9900K Review". TechPowerUp. Retrieved October 19, 2018.
  98. ^ "Power Consumption – Intel Core i9-9900K 9th Gen CPU Review: Fastest Gaming Processor Ever". Tom's Hardware. October 19, 2018. Retrieved October 21, 2018.
  99. ^ "Intel Expands 10th Gen Intel Core Mobile Processor Family, Offering Double Digit Performance Gains". Intel Newsroom. Retrieved August 21, 2019.
  100. ^ Cutress, Ian; Frumusanu, Andrei. "Intel's Tiger Lake 11th Gen Core i7-1185G7 Review and Deep Dive: Baskin' for the Exotic". www.anandtech.com. Retrieved September 17, 2020.
  101. ^ Olšan, Jan (August 6, 2021). "Intel potichu uvedl 10nm procesory pro desktop, BGA verze Tiger Lake-H (Update: takty boostu vyjasněné)". cnews.cz. Retrieved March 11, 2022.
  102. ^ "Intel's 11th Gen Core Rocket Lake Detailed: Ice Lake Core with Xe Graphics". AnandTech. October 29, 2020.
  103. ^ Cutress, Dr Ian. "Intel Launches Rocket Lake 11th Gen Core i9, Core i7, and Core i5". www.anandtech.com. Retrieved March 17, 2021.
  104. ^ Cutress, Ian "Intel Alder Lake: Confirmed x86 Hybrid with Golden Cove and Gracemont for 2021". www.anandtech.com. Retrieved 2021-02-15.
  105. ^ Cutress, Dr Ian. "Intel 12th Gen Core Alder Lake for Desktops: Top SKUs Only, Coming November 4th". www.anandtech.com.
  106. ^ "Products formerly Alder Lake". www.intel.com.
  107. ^ Cutress, Ian; Frumusanu, Andrei (November 4, 2021). "The Intel 12th Gen Core i9-12900K Review: Hybrid Performance Brings Hybrid Complexity". AnandTech. Retrieved November 4, 2021.
  108. ^ Bonshor, Gavin. "The Intel W680 Chipset Overview: Alder Lake Workstations Get ECC Memory and Overclocking Support". www.anandtech.com. Retrieved April 14, 2022.
  109. ^ "12th Gen Intel Core i9-12900KS Launches as World's Fastest Desktop..." Intel. Retrieved March 28, 2022.
  110. ^ "Intel Core i5-12490F is China exclusive 6-core Alder Lake desktop CPU with 20MB L3 cache". VideoCardz. February 28, 2022. Archived from the original on February 28, 2022. Retrieved February 28, 2022. Alt URL
  111. ^ "Intel showcases 13th Gen Core "Raptor Lake" CPU with 24 cores and 32 threads". VideoCardz.
  112. ^ "Raptor Lake - Microarchitectures - Intel - WikiChip". en.wikichip.org. Retrieved May 25, 2023.
  113. ^ "Products formerly Raptor Lake". www.intel.com. Retrieved October 27, 2023.
  114. ^ Bonshor, Gavin. "Intel Announces 14th Gen Core Series For Desktop: Core i9-14900K, Core i7-14700K and Core i5-14600K". www.anandtech.com. Retrieved October 27, 2023.
  115. ^ Cunningham, Andrew (October 17, 2023). "Intel's 14th-gen desktop CPUs are a tiny update even by modern standards". Ars Technica. Retrieved November 6, 2023.
  116. ^ Intel's 300W Core i9-14900K: CPU Review, Benchmarks, Gaming, & Power, October 18, 2023, retrieved November 6, 2023
  117. ^ Intel Core i9-14900K, Core i7-14700K & Core i5-14600K Review, Gaming Benchmarks, October 17, 2023, retrieved November 6, 2023
  118. ^ Bonshor, Gavin. "Intel Meteor Lake SoC is NOT Coming to Desktops: Well, Not Technically". www.anandtech.com. Retrieved November 6, 2023.
  119. ^ Liu, Zhiye (January 8, 2024). "Intel unleashes 14th Gen Raptor Lake Refresh HX-series CPUs — refreshed chips with up to 24 cores, 5.8 GHz boost clock, and 192GB DDR5 support". Tom's Hardware. Retrieved May 12, 2024.
  120. ^ a b Norem, Josh (December 14, 2023). "Intel Officially Launches Meteor Lake 'Core Ultra' CPUs". ExtremeTech. Retrieved May 12, 2024.
  121. ^ a b Smith, Ryan (January 8, 2024). "Intel Intros Core (Series 1) U-Series Mobile Chips: Raptor Lake Refreshed for Thin & Light". www.anandtech.com. Retrieved May 12, 2024.
  122. ^ Roach, Jacob (December 14, 2023). "Confused about Core Ultra? We were too, so we asked Intel". Digital Trends. Retrieved May 12, 2024.
  123. ^ a b Gomes, Wilfred; Morgan, Slade; Phelps, Boyd; Wilson, Tim; Hallnor, Erik (2022). "Meteor Lake and Arrow Lake Intel Next-Gen 3D Client Architecture Platform with Foveros". 2022 IEEE Hot Chips 34 Symposium (HCS). pp. 1–40. doi:10.1109/HCS55958.2022.9895532. ISBN 978-1-6654-6028-6. S2CID 252551808.
  124. ^ "Intel Core Ultra Ushers in the Age of the AI PC". Intel. December 14, 2023. Archived from the original on December 14, 2023. Retrieved December 14, 2023.
  125. ^ Intel Corporation, "The 'Blank Sheet' that Delivered Intel's Most Significant SoC Design Change in 40 Years", January, 17, 2004.
  126. ^ Temsamani, Fahd (August 24, 2022). "Intel reveals key details about 3D Foveros chip design on Meteor Lake". Club386. Archived from the original on May 23, 2024.
  127. ^ Deutscher, Maria (July 25, 2022). "Intel to produce chips for MediaTek as part of new partnership". Silicon Angle. Archived from the original on July 16, 2024. Retrieved May 23, 2024.
  128. ^ Mehta, Rich (February 5, 2019). "Intel announces tweaks to 22FFL process for RF, MRAM at IEDM18". Semiconductor Digest. Archived from the original on July 16, 2024. Retrieved May 23, 2024.
  129. ^ Alcorn, Paul (April 27, 2023). "Intel's Meteor Lake, Its First PC Chips With TSMC Tech, Launch This Year". Tom's Hardware. Archived from the original on July 16, 2024. Retrieved May 23, 2024.
  130. ^ Alcorn, Paul (September 19, 2023). "Intel Details Core Ultra 'Meteor Lake' Architecture, Launches December 14". Tom's Hardware. Archived from the original on June 8, 2024. Retrieved May 23, 2024.
  131. ^ Zuhair, Muhammad (August 28, 2023). "Intel Could Dish Out An Estimated 365,000 Next-Gen Meteor Lake CPU Tiles Per Month". Wccftech. Archived from the original on April 5, 2024. Retrieved May 23, 2024.
  132. ^ "Lion Cove: Intel's P-Core Roars - by Chester Lam".
  133. ^ Hagedoorn, Hilbert (October 10, 2024). "Intel Announcement Preview: Intel Core Ultra 200 Arrow Lake CPUs". www.guru3d.com. Retrieved November 27, 2024.
  134. ^ W1zzard; on; Intel, in Processors Manufacturer (October 10, 2024). "Intel Core Ultra Arrow Lake Preview". TechPowerUp. Retrieved November 27, 2024.{{cite web}}: CS1 maint: numeric names: authors list (link)
  135. ^ Chester Lam (December 5, 2024). "Examining Intel's Arrow Lake, at the System Level". Chips and Cheese. Retrieved January 31, 2025.
  136. ^ Michael Kan (September 5, 2024). "Intel Signals Arrow Lake Desktop CPUs Will Be Built Mainly Using TSMC". PCMag. Retrieved January 31, 2025.
  137. ^ btarunr (October 21, 2024). "Intel Arrow Lake-S Die Visibly Larger Than Raptor Lake-S, Die-size Estimated". TechPowerUp. Retrieved January 31, 2025.
  138. ^ Nick Evanson (January 9, 2024). "'World's first gaming processor with an AI accelerator': Intel's Arrow Lake will be coming to desktop PCs in the second half of this year". PCGamer. Retrieved January 31, 2025.
  139. ^ Paul Alcorn (October 10, 2024). "Intel Launches Arrow Lake Core Ultra 200S — big gains in productivity and power efficiency, but not in gaming". Tom's Hardware. Retrieved November 27, 2024.
  140. ^ Paul Alcorn (January 18, 2024). "Intel's Arrow Lake fix doesn't 'fix' overall gaming performance or match the company's bad marketing claims - Core Ultra 200S still trails AMD and previous-gen chips". Tom's Hardware. Retrieved January 31, 2025.
  141. ^ Alfonso Maruccia (January 2, 2025). "Intel's latest microcode update fails to fix Arrow Lake performance issues". Techspot. Retrieved January 31, 2025.
  142. ^ Intel (January 6, 2025). "Intel Extends Leadership in AI PCs and Edge Computing at CES 2025". Intel. Retrieved January 31, 2025.
[edit]
Preceded by Intel Core
2006–present